Debdeep Mukhopadhyay
Orcid: 0000-0002-6499-8346
According to our database1,
Debdeep Mukhopadhyay
authored at least 401 papers
between 2002 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2024
Enhancing SRAM-Based PUF Reliability Through Machine Learning-Aided Calibration Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2024
IEEE Trans. Computers, February, 2024
On the Instability of Softmax Attention-Based Deep Learning Models in Side-Channel Analysis.
IEEE Trans. Inf. Forensics Secur., 2024
IEEE Trans. Inf. Forensics Secur., 2024
IEEE Trans. Emerg. Top. Comput., 2024
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2024
Carry Your Fault: A Fault Propagation Attack on Side-Channel Protected LWE-based KEM.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2024
EstraNet: An Efficient Shift-Invariant Transformer Network for Side-Channel Analysis.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2024
IACR Cryptol. ePrint Arch., 2024
NiLoPher: Breaking a Modern SAT-Hardened Logic-Locking Scheme via Power Analysis Attack.
IACR Cryptol. ePrint Arch., 2024
Probabilistic Algorithms with applications to countering Fault Attacks on Lattice based Post-Quantum Cryptography.
IACR Cryptol. ePrint Arch., 2024
"There's always another counter": Detecting Micro-architectural Attacks in a Probabilistically Interleaved Malicious/Benign Setting.
IACR Cryptol. ePrint Arch., 2024
IACR Cryptol. ePrint Arch., 2024
Harmonizing PUFs for Forward Secure Authenticated Key Exchange with Symmetric Primitives.
IACR Cryptol. ePrint Arch., 2024
Tokenised Multi-client Provisioning for Dynamic Searchable Encryption with Forward and Backward Privacy.
IACR Cryptol. ePrint Arch., 2024
Stealing the Invisible: Unveiling Pre-Trained CNN Models through Adversarial Examples and Timing Side-Channels.
CoRR, 2024
IACR Commun. Cryptol., 2024
Shesha : Multi-head Microarchitectural Leakage Discovery in new-generation Intel Processors.
Proceedings of the 33rd USENIX Security Symposium, 2024
Proceedings of the 31st Annual Network and Distributed System Security Symposium, 2024
Too Hot to Handle: Novel Thermal Side-Channel in Power Attack-Protected Intel Processors.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2024
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2024
Proceedings of the Great Lakes Symposium on VLSI 2024, 2024
Proceedings of the Computer Security - ESORICS 2024, 2024
X-Factor: Deep Learning-based PCB Counterfeit Detection using X-Ray CT Techniques for Hardware Assurance.
Proceedings of the 21st ACM International Conference on Computing Frontiers, 2024
On the Security of Privacy-Preserving Machine Learning Against Model Stealing Attacks.
Proceedings of the Cryptology and Network Security - 23rd International Conference, 2024
Efficient Quantum-Safe Distributed PRF and Applications: Playing DiSE in a Quantum World.
Proceedings of the Applied Cryptography and Network Security, 2024
"Hello? Is There Anybody in There?" Leakage Assessment of Differential Privacy Mechanisms in Smart Metering Infrastructure.
Proceedings of the Applied Cryptography and Network Security, 2024
Proceedings of the Applied Cryptography and Network Security Workshops, 2024
2023
ACM Trans. Embed. Comput. Syst., October, 2023
J. Cryptol., July, 2023
Birds of the Same Feather Flock Together: A Dual-Mode Circuit Candidate for Strong PUF-TRNG Functionalities.
IEEE Trans. Computers, June, 2023
CAD Support for Security and Robustness Analysis of Safety-critical Automotive Software.
ACM Trans. Cyber Phys. Syst., January, 2023
TWo-IN-one-SSE: Fast, Scalable and Storage-Efficient Searchable Symmetric Encryption for Conjunctive and Disjunctive Boolean Queries.
Proc. Priv. Enhancing Technol., January, 2023
IEEE Trans. Inf. Forensics Secur., 2023
"Whispering MLaaS" Exploiting Timing Channels to Compromise User Privacy in Deep Neural Networks.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2023
IEEE Trans. Circuits Syst. I Regul. Pap., 2023
IACR Cryptol. ePrint Arch., 2023
IACR Cryptol. ePrint Arch., 2023
IACR Cryptol. ePrint Arch., 2023
IACR Cryptol. ePrint Arch., 2023
Modelling Delay-based Physically Unclonable Functions through Particle Swarm Optimization.
IACR Cryptol. ePrint Arch., 2023
Plug Your Volt: Protecting Intel Processors against Dynamic Voltage Frequency Scaling based Fault Attacks.
IACR Cryptol. ePrint Arch., 2023
Model Stealing Attacks On FHE-based Privacy-Preserving Machine Learning through Adversarial Examples.
IACR Cryptol. ePrint Arch., 2023
IACR Cryptol. ePrint Arch., 2023
Uncovering Vulnerabilities in Smartphone Cryptography: A Timing Analysis of the Bouncy Castle RSA Implementation.
IACR Cryptol. ePrint Arch., 2023
CoRR, 2023
Are Randomized Caches Truly Random? Formal Analysis of Randomized-Partitioned Caches.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
ExploreFault: Identifying Exploitable Fault Models in Block Ciphers with Reinforcement Learning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
How Hardened is Your Hardware? Guiding ChatGPT to Generate Secure Hardware Resistant to CWEs.
Proceedings of the Cyber Security, Cryptology, and Machine Learning, 2023
Proceedings of the 2023 Workshop on Attacks and Solutions in Hardware Security, 2023
Invited Paper: Oblivious Transfer Protocol without Physical Transfer of Hardware Root-of-Trust.
Proceedings of the 5th workshop on Advanced tools, 2023
2022
Physically Related Functions: Exploiting Related Inputs of PUFs for Authenticated-Key Exchange.
IEEE Trans. Inf. Forensics Secur., 2022
Safe is the New Smart: PUF-Based Authentication for Load Modification-Resistant Smart Meters.
IEEE Trans. Dependable Secur. Comput., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Computers, 2022
J. Hardw. Syst. Secur., 2022
<i>NN-Lock</i>: A Lightweight Authorization to Prevent IP Threats of Deep Learning Models.
ACM J. Emerg. Technol. Comput. Syst., 2022
IACR Cryptol. ePrint Arch., 2022
IACR Cryptol. ePrint Arch., 2022
Demystifying the comments made on "A Practical Full Key Recovery Attack on TFHE and FHEW by Inducing Decryption Errors".
IACR Cryptol. ePrint Arch., 2022
IACR Cryptol. ePrint Arch., 2022
IACR Cryptol. ePrint Arch., 2022
IACR Cryptol. ePrint Arch., 2022
IACR Cryptol. ePrint Arch., 2022
Resisting Adversarial Attacks in Deep Neural Networks using Diverse Decision Boundaries.
CoRR, 2022
CoRR, 2022
Proceedings of the 40th IEEE VLSI Test Symposium, 2022
Light but Tight: Lightweight Composition of Serialized S-Boxes with Diffusion Layers for Strong Ciphers.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2022
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2022
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Is the Whole lesser than its Parts? Breaking an Aggregation based Privacy aware Metering Algorithm.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022
Efficient Loop Abort Fault Attacks on Supersingular Isogeny based Key Exchange (SIKE).
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2022
DIP Learning on CAS-Lock: Using Distinguishing Input Patterns for Attacking Logic Locking.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
Timed speculative attacks exploiting store-to-load forwarding bypassing cache-based countermeasures.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security, 2022
Work-in-Progress: CAMiSE: Content Addressable Memory-integrated Searchable Encryption.
Proceedings of the International Conference on Compilers, 2022
Time's a Thief of Memory - Breaking Multi-tenant Isolation in TrustZones Through Timing Based Bidirectional Covert Channels.
Proceedings of the Smart Card Research and Advanced Applications, 2022
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2022
Proceedings of First Asian Symposium on Cellular Automata Technology, 2022
Proceedings of the Progress in Cryptology, 2022
2021
IEEE Trans. Inf. Forensics Secur., 2021
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
J. Cryptol., 2021
Introduction to the Special Issue on Emerging Challenges and Solutions in Hardware Security.
ACM J. Emerg. Technol. Comput. Syst., 2021
Victims Can Be Saviors: A Machine Learning-based Detection for Micro-Architectural Side-Channel Attacks.
ACM J. Emerg. Technol. Comput. Syst., 2021
IACR Cryptol. ePrint Arch., 2021
IACR Cryptol. ePrint Arch., 2021
IACR Cryptol. ePrint Arch., 2021
IACR Cryptol. ePrint Arch., 2021
IACR Cryptol. ePrint Arch., 2021
IACR Cryptol. ePrint Arch., 2021
Introducing Recurrence in Strong PUFs for Enhanced Machine Learning Attack Resistance.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021
PARL: Enhancing Diversity of Ensemble Networks to Resist Adversarial Attacks via Pairwise Adversarially Robust Loss Function.
CoRR, 2021
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021
Deep Learning assisted Cross-Family Profiled Side-Channel Attacks using Transfer Learning.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021
Shortest Path to Secured Hardware: Domain Oriented Masking with High-Level-Synthesis.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
SACReD: An Attack Framework on SAC Resistant Delay-PUFs leveraging Bias and Reliability Factors.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Proceedings of the Constructive Side-Channel Analysis and Secure Design, 2021
Auto-PUFChain: An Automated Interaction Tool for PUFs and Blockchain in Electronic Supply Chain.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2021
Divided We Stand, United We Fall: Security Analysis of Some SCA+SIFA Countermeasures Against SCA-Enhanced Fault Template Attacks.
Proceedings of the Advances in Cryptology - ASIACRYPT 2021, 2021
Demand Manipulation Attack Resilient Privacy Aware Smart Grid Using PUFs and Blockchain.
Proceedings of the Applied Cryptography and Network Security Workshops, 2021
A Good Anvil Fears No Hammer: Automated Rowhammer Detection Using Unsupervised Deep Learning.
Proceedings of the Applied Cryptography and Network Security Workshops, 2021
2020
Machine Learning Assisted PUF Calibration for Trustworthy Proof of Sensor Data in IoT.
ACM Trans. Design Autom. Electr. Syst., 2020
A Framework to Counter Statistical Ineffective Fault Analysis of Block Ciphers Using Domain Transformation and Error Correction.
IEEE Trans. Inf. Forensics Secur., 2020
ACM Trans. Embed. Comput. Syst., 2020
IEEE Trans. Computers, 2020
Neural Network-based Inherently Fault-tolerant Hardware Cryptographic Primitives without Explicit Redundancy Checks.
ACM J. Emerg. Technol. Comput. Syst., 2020
Improving accuracy of HPC-based malware classification for embedded platforms using gradient descent optimization.
J. Cryptogr. Eng., 2020
TranSCA: Cross-Family Profiled Side-Channel Attacks using Transfer Learning on Deep Neural Networks.
IACR Cryptol. ePrint Arch., 2020
IACR Cryptol. ePrint Arch., 2020
IACR Cryptol. ePrint Arch., 2020
IACR Cryptol. ePrint Arch., 2020
Improved Fault Templates of Boolean Circuits in Cryptosystems can Break Threshold Implementations.
IACR Cryptol. ePrint Arch., 2020
IACR Cryptol. ePrint Arch., 2020
Stupify: A Hardware Countermeasure of KRACKs in WPA2 using Physically Unclonable Functions.
Proceedings of the Companion of The 2020 Web Conference 2020, 2020
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020
A Minimalistic Perspective on Koblitz Curve Scalar Multiplication for FPGA Platforms.
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2020
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020
Faultless to a Fault? The Case of Threshold Implementations of Crypto-systems vs Fault Template Attacks.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
PUF-G: A CAD Framework for Automated Assessment of Provable Learnability from Formal PUF Representations.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Proceedings of the Advances in Cryptology - EUROCRYPT 2020, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Towards Secure Composition of Integrated Circuits and Electronic Systems: On the Role of EDA.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Skip to Secure: Securing Cyber-Physical Control Loops with Intentionally Skipped Executions.
Proceedings of the CPSIOTSEC'20: Proceedings of the 2020 Joint Workshop on CPS&IoT Security and Privacy, 2020
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2020
2019
Power Efficiency of S-Boxes: From a Machine-Learning-Based Tool to a Deterministic Model.
IEEE Trans. Very Large Scale Integr. Syst., 2019
High-Speed Implementation of ECC Scalar Multiplication in GF(p) for Generic Montgomery Curves.
IEEE Trans. Very Large Scale Integr. Syst., 2019
Guest Editorial: Special Section on Autonomous Intelligence for Security and Privacy Analytics.
IEEE Trans. Very Large Scale Integr. Syst., 2019
Guest Editorial Special Section on Security Challenges and Solutions With Emerging Computing Technologies.
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Inf. Forensics Secur., 2019
ACM Trans. Embed. Comput. Syst., 2019
Building PUF Based Authentication and Key Exchange Protocol for IoT Without Explicit CRPs in Verifier Database.
IEEE Trans. Dependable Secur. Comput., 2019
IEEE Trans. Computers, 2019
IEEE Trans. Computers, 2019
Lightweight Design-for-Security Strategies for Combined Countermeasures Against Side Channel and Fault Analysis in IoT Applications.
J. Hardw. Syst. Secur., 2019
Guest Editorial SPACE 2017 Special Issue in the Journal of Hardware and Systems Security (HaSS).
J. Hardw. Syst. Secur., 2019
IPA: an Instruction Profiling-Based Micro-architectural Side-Channel Attack on Block Ciphers.
J. Hardw. Syst. Secur., 2019
J. Cryptogr. Eng., 2019
Automatic generation of HCCA-resistant scalar multiplication algorithm by proper sequencing of field multiplier operands.
J. Cryptogr. Eng., 2019
Breach the Gate: Exploiting Observability for Fault Template Attacks on Block Ciphers.
IACR Cryptol. ePrint Arch., 2019
IACR Cryptol. ePrint Arch., 2019
Count Your Toggles: a New Leakage Model for Pre-Silicon Power Analysis of Crypto Designs.
J. Electron. Test., 2019
Using Memory Allocation Schemes in Linux to Exploit DRAM Vulnerability: with Rowhammer as a Case Study.
CoRR, 2019
CoRR, 2019
Adv. Math. Commun., 2019
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019
Formal Analysis of PUF Instances Leveraging Correlation-Spectra in Boolean Functions.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2019
Revisiting the Security of LPN Based RFID Authentication Protocol and Potential Exploits in Hardware Implementations.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2019
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Number "Not Used" Once - Practical Fault Attack on pqm4 Implementations of NIST Candidates.
Proceedings of the Constructive Side-Channel Analysis and Secure Design, 2019
In-situ Extraction of Randomness from Computer Architecture Through Hardware Performance Counters.
Proceedings of the Smart Card Research and Advanced Applications, 2019
Proceedings of the 28th IEEE Asian Test Symposium, 2019
A 0.16pJ/bit recurrent neural network based PUF for enhanced machine learning attack resistance.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
Proceedings of the Security and Fault Tolerance in Internet of Things, 2019
2018
IACR Trans. Symmetric Cryptol., 2018
ACM Trans. Priv. Secur., 2018
ExpFault: An Automated Framework for Exploitable Fault Characterization in Block Ciphers.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2018
IEEE Trans. Computers, 2018
J. Hardw. Syst. Secur., 2018
IACR Cryptol. ePrint Arch., 2018
New Lower Bounds on Predicate Entropy for Function Private Public-Key Predicate Encryption.
IACR Cryptol. ePrint Arch., 2018
IACR Cryptol. ePrint Arch., 2018
IACR Cryptol. ePrint Arch., 2018
IACR Cryptol. ePrint Arch., 2018
IEEE Embed. Syst. Lett., 2018
A 0.16pJ/bit Recurrent Neural Network Based PUF for Enhanced Machine Learning Atack Resistance.
CoRR, 2018
CoRR, 2018
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2018
Proceedings of the IEEE International Conference on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, 2018
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018
Proceedings of the 7th International Workshop on Hardware and Architectural Support for Security and Privacy, 2018
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018
Revisiting FPGA Implementation of Montgomery Multiplier in Redundant Number System for Efficient ECC Application in GF(p).
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018
Proceedings of the 2018 Workshop on Fault Diagnosis and Tolerance in Cryptography, 2018
Proceedings of the 21st International Conference on Extending Database Technology, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Side-Channel Assisted Malware Classifier with Gradient Descent Correction for Embedded Platforms.
Proceedings of the PROOFS 2018, 2018
Proceedings of the 2018 on Asia Conference on Computer and Communications Security, 2018
Proceedings of the 2018 ACM SIGSAC Conference on Computer and Communications Security, 2018
2017
Security Analysis of Arbiter PUF and Its Lightweight Compositions Under Predictability Test.
ACM Trans. Design Autom. Electr. Syst., 2017
Fault Space Transformation: A Generic Approach to Counter Differential Fault Analysis and Differential Fault Intensity Analysis on AES-Like Block Ciphers.
IEEE Trans. Inf. Forensics Secur., 2017
ACM Trans. Embed. Comput. Syst., 2017
IEEE Trans. Circuits Syst. II Express Briefs, 2017
A Combined Power and Fault Analysis Attack on Protected Grain Family of Stream Ciphers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
Provably Secure Key-Aggregate Cryptosystems with Broadcast Aggregate Keys for Online Data Sharing on the Cloud.
IEEE Trans. Computers, 2017
Construction of Rotation Symmetric S-Boxes with High Nonlinearity and Improved DPA Resistivity.
IEEE Trans. Computers, 2017
Remote dynamic partial reconfiguration: A threat to Internet-of-Things and embedded security applications.
Microprocess. Microsystems, 2017
An Evaluation of Lightweight Block Ciphers for Resource-Constrained Applications: Area, Performance, and Security.
J. Hardw. Syst. Secur., 2017
Editorial for the Special Issue in Journal of Hardware and Systems Security (HaSS) Based on Selected Papers from 6th International Conference on Security, Privacy and Applied Cryptographic Engineering (SPACE 2016).
J. Hardw. Syst. Secur., 2017
Formal fault analysis of branch predictors: attacking countermeasures of asymmetric key ciphers.
J. Cryptogr. Eng., 2017
IACR Cryptol. ePrint Arch., 2017
IACR Cryptol. ePrint Arch., 2017
Spot the Black Hat in a Dark Room: Parallelized Controlled Access Searchable Encryption on FPGAs.
IACR Cryptol. ePrint Arch., 2017
Encrypt-Augment-Recover: Computationally Function Private Predicate Encryption in the Public-Key Setting.
IACR Cryptol. ePrint Arch., 2017
One Plus One is More than Two: A Practical Combination of Power and Fault Analysis Attacks on PRESENT and PRESENT-like Block Ciphers.
IACR Cryptol. ePrint Arch., 2017
IACR Cryptol. ePrint Arch., 2017
PUF+IBE: Blending Physically Unclonable Functions with Identity Based Encryption for Authentication and Key Exchange in IoTs.
IACR Cryptol. ePrint Arch., 2017
IACR Cryptol. ePrint Arch., 2017
IACR Cryptol. ePrint Arch., 2017
Performance Counters to Rescue: A Machine Learning based safeguard against Micro-architectural Side-Channel-Attacks.
IACR Cryptol. ePrint Arch., 2017
Tackling the Time-Defence: An Instruction Count Based Micro-architectural Side-Channel Attack on Block Ciphers.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2017
Opening pandora's box: Implication of RLUT on secure FPGA applications and IP security.
Proceedings of the IEEE 2nd International Verification and Security Workshop, 2017
Proceedings of the Euromicro Conference on Digital System Design, 2017
An Automated Framework for Exploitable Fault Identification in Block Ciphers - A Data Mining Approach.
Proceedings of the PROOFS 2017, 2017
Proceedings of the Computing Frontiers Conference, 2017
2016
ACM Trans. Embed. Comput. Syst., 2016
Power Consumption versus Hardware Security: Feasibility Study of Differential Power Attack on Linear Feedback Shift Register Based Stream Ciphers and Its Countermeasures.
J. Low Power Electron., 2016
IET Inf. Secur., 2016
Side-Channel Watchdog: Run-Time Evaluation of Side-Channel Vulnerability in FPGA-Based Crypto-systems.
IACR Cryptol. ePrint Arch., 2016
Fault Tolerant Implementations of Delay-based Physically Unclonable Functions on FPGA.
IACR Cryptol. ePrint Arch., 2016
IACR Cryptol. ePrint Arch., 2016
IACR Cryptol. ePrint Arch., 2016
IACR Cryptol. ePrint Arch., 2016
IACR Cryptol. ePrint Arch., 2016
Exploiting Safe Error based Leakage of RFID Authentication Protocol using Hardware Trojan Horse.
IACR Cryptol. ePrint Arch., 2016
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2016
Fault Injection Attacks: Attack Methodologies, Injection Techniques and Protection Mechanisms - A Tutorial.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2016
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016
Proceedings of the 2016 ACM/IEEE International Conference on Formal Methods and Models for System Design, 2016
Proceedings of the International Symposium on Integrated Circuits, 2016
A Formal Security Analysis of Even-Odd Sequential Prefetching in Profiled Cache-Timing Attacks.
Proceedings of the Hardware and Architectural Support for Security and Privacy 2016, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Proceedings of the 2016 IEEE International Symposium on Hardware Oriented Security and Trust, 2016
Inner collisions in ECC: Vulnerabilities of complete addition formulas for NIST curves.
Proceedings of the 2016 IEEE Asian Hardware-Oriented Security and Trust, 2016
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
Remote Dynamic Clock Reconfiguration Based Attacks on Internet of Things Applications.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
Proceedings of the 3rd ACM International Workshop on ASIA Public-Key Cryptography, 2016
2015
IEEE Trans. Multi Scale Comput. Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Micro-Architectural Analysis of Time-Driven Cache Attacks: Quest for the Ideal Implementation.
IEEE Trans. Computers, 2015
J. Cryptogr. Eng., 2015
Improved Test Pattern Generation for Hardware Trojan Detection using Genetic Algorithm and Boolean Satisfiability.
IACR Cryptol. ePrint Arch., 2015
IACR Cryptol. ePrint Arch., 2015
ECC on Your Fingertips: A Single Instruction Approach for Lightweight ECC Design in GF (p).
IACR Cryptol. ePrint Arch., 2015
IACR Cryptol. ePrint Arch., 2015
IACR Cryptol. ePrint Arch., 2015
Exploiting the Order of Multiplier Operands: A Low Cost Approach for HCCA Resistance.
IACR Cryptol. ePrint Arch., 2015
Combined Side-Channel and Fault Analysis Attack on Protected Grain Family of Stream Ciphers.
IACR Cryptol. ePrint Arch., 2015
Who watches the watchmen? : Utilizing Performance Monitors for Compromising keys of RSA on Intel Platforms.
IACR Cryptol. ePrint Arch., 2015
Construction of RSBFs with improved cryptographic properties to resist differential fault attack on grain family of stream ciphers.
Cryptogr. Commun., 2015
Tutorial T7: Physically Unclonable Function: A Promising Security Primitive for Internet of Things.
Proceedings of the 28th International Conference on VLSI Design, 2015
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2015
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2015
Proceedings of the 10th Workshop on Embedded Systems Security, 2015
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015
Efficient attacks on robust ring oscillator PUF with enhanced challenge-response set.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the Constructive Side-Channel Analysis and Secure Design, 2015
2014
IACR Cryptol. ePrint Arch., 2014
IACR Cryptol. ePrint Arch., 2014
IACR Cryptol. ePrint Arch., 2014
IACR Cryptol. ePrint Arch., 2014
Fault Attack revealing Secret Keys of Exponentiation Algorithms from Branch Prediction Misses.
IACR Cryptol. ePrint Arch., 2014
Proceedings of the 18th International Symposium on VLSI Design and Test, 2014
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2014
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2014
Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust, 2014
Circuits and Synthesis Mechanism for Hardware Design to Counter Power Analysis Attacks.
Proceedings of the 17th Euromicro Conference on Digital System Design, 2014
Tile Before Multiplication: An Efficient Strategy to Optimize DSP Multiplier for Accelerating Prime Field ECC for NIST Curves.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the Constructive Side-Channel Analysis and Secure Design, 2014
Destroying Fault Invariant with Randomization - A Countermeasure for AES Against Differential Fault Attacks.
Proceedings of the Cryptographic Hardware and Embedded Systems - CHES 2014, 2014
Fault attack on AES via hardware Trojan insertion by dynamic partial reconfiguration of FPGA over ethernet.
Proceedings of the 9th Workshop on Embedded Systems Security, 2014
Proceedings of the Progress in Cryptology - AFRICACRYPT 2014, 2014
Proceedings of the Cellular Automata, 2014
CRC Press, ISBN: 978-1-439-89583-2, 2014
2013
Theoretical Modeling of Elliptic Curve Scalar Multiplier on LUT-Based FPGAs for Area and Speed.
IEEE Trans. Very Large Scale Integr. Syst., 2013
Secure Dual-Core Cryptoprocessor for Pairings Over Barreto-Naehrig Curves on FPGA Platform.
IEEE Trans. Very Large Scale Integr. Syst., 2013
IEEE Trans. Inf. Forensics Secur., 2013
Constrained Search for a Class of Good Bijective S-Boxes With Improved DPA Resistivity.
IEEE Trans. Inf. Forensics Secur., 2013
J. Cryptogr. Eng., 2013
IACR Cryptol. ePrint Arch., 2013
IACR Cryptol. ePrint Arch., 2013
Partial bitstream protection for low-cost FPGAs with physical unclonable function, obfuscation, and dynamic partial self reconfiguration.
Comput. Electr. Eng., 2013
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2013
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013
Design and implementation of rotation symmetric S-boxes with high nonlinearity and high DPA resilience.
Proceedings of the 2013 IEEE International Symposium on Hardware-Oriented Security and Trust, 2013
Proceedings of the 2013 Workshop on Fault Diagnosis and Tolerance in Cryptography, 2013
Proceedings of the 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2013
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the Information Security and Cryptology - 9th International Conference, 2013
2012
IEEE Trans. Inf. Forensics Secur., 2012
J. Cell. Autom., 2012
Integr., 2012
IACR Cryptol. ePrint Arch., 2012
IACR Cryptol. ePrint Arch., 2012
Protecting Last Four Rounds of CLEFIA is Not Enough Against Differential Fault Analysis.
IACR Cryptol. ePrint Arch., 2012
Proceedings of the 25th International Conference on VLSI Design, 2012
An Efficient High Speed Implementation of Flexible Characteristic-2 Multipliers on FPGAs.
Proceedings of the Progress in VLSI Design and Test - 16th International Symposium, 2012
Proceedings of the Progress in VLSI Design and Test - 16th International Symposium, 2012
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Proceedings of the Information Security and Cryptology - 8th International Conference, 2012
Proceedings of the Information Security and Cryptology - 8th International Conference, 2012
Pushing the Limits of High-Speed GF(2 m ) Elliptic Curve Scalar Multiplication on FPGAs.
Proceedings of the Cryptographic Hardware and Embedded Systems - CHES 2012, 2012
Proceedings of the Cellular Automata, 2012
2011
IEEE Trans. Very Large Scale Integr. Syst., 2011
Petrel: Power and Timing Attack Resistant Elliptic Curve Scalar Multiplier Based on Programmable GF(p) Arithmetic Unit.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011
A Parallel Efficient Architecture for Large Cryptographically Robust n × k (k>n/2) Mappings.
IEEE Trans. Computers, 2011
Int. J. Netw. Secur., 2011
IACR Cryptol. ePrint Arch., 2011
IACR Cryptol. ePrint Arch., 2011
Differential Fault Analysis of the Advanced Encryption Standard Using a Single Fault.
Proceedings of the Information Security Theory and Practice. Security and Privacy of Mobile Devices in Wireless Communication, 2011
Proceedings of the Progress in Cryptology - INDOCRYPT 2011, 2011
Proceedings of the Progress in Cryptology - INDOCRYPT 2011, 2011
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011
Proceedings of the 2011 Workshop on Fault Diagnosis and Tolerance in Cryptography, 2011
Theoretical modeling of the Itoh-Tsujii Inversion algorithm for enhanced performance on k-LUT based FPGAs.
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the Topics in Cryptology - CT-RSA 2011, 2011
Proceedings of the Smart Card Research and Advanced Applications, 2011
Proceedings of the 20th IEEE Asian Test Symposium, 2011
Proceedings of the Progress in Cryptology - AFRICACRYPT 2011, 2011
2010
IACR Cryptol. ePrint Arch., 2010
Acceleration of Differential Fault Analysis of the Advanced Encryption Standard Using Single Fault.
IACR Cryptol. ePrint Arch., 2010
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010
New Pseudo Near Collision Attack on Tiger.
Proceedings of the SECRYPT 2010, 2010
Proceedings of the Pairing-Based Cryptography - Pairing 2010, 2010
Proceedings of the 2010 Conference on Design & Architectures for Signal & Image Processing, 2010
Proceedings of the Cellular Automata, 2010
2009
IET Inf. Secur., 2009
Differential Fault Analysis of the Advanced Encryption Standard using a Single Fault.
IACR Cryptol. ePrint Arch., 2009
IACR Cryptol. ePrint Arch., 2009
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009
Proceedings of the Progress in Cryptology, 2009
2008
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008
Proceedings of the Progress in Cryptology, 2008
Proceedings of the Progress in Cryptology, 2008
Proceedings of the Cellular Automata, 2008
2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
Theory of a Class of Complemented Group Cellular Automata and Its Application to Cryptography.
J. Cell. Autom., 2007
An Efficient Design of Cellular Automata Based Cryptographically Robust One-Way Function.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the Progress in Cryptology, 2007
Proceedings of the Progress in Cryptology, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the Information Security and Privacy, 12th Australasian Conference, 2007
2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Proceedings of the 9th International Conference in Information Technology, 2006
Generation of Expander Graphs Using Cellular Automata and Its Applications to Cryptography.
Proceedings of the Cellular Automata, 2006
A Cellular Automata Based Approach for Generation of Large Primitive Polynomial and Its Application to RS-Coded MPSK Modulation.
Proceedings of the Cellular Automata, 2006
2005
IACR Cryptol. ePrint Arch., 2005
IACR Cryptol. ePrint Arch., 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Proceedings of the Information Systems Security, First International Conference, 2005
Cellular automata based key agreement.
Proceedings of the ICETE 2005, 2005
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
2004
Proceedings of the Distributed Computing and Internet Technology, 2004
Proceedings of the Cellular Automata, 2004
2002
Reformatting Test Patterns for Testing Embedded Core Based System Using Test Access Mechanism (TAM) Switch.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002