David Wentzlaff
Orcid: 0000-0002-6337-5630
According to our database1,
David Wentzlaff
authored at least 76 papers
between 2002 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
CoRR, 2024
MindPalace: A Framework for Studying Microarchitecture Design of Function-as-a-Service.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2024
MuchiSim: A Simulation Framework for Design Exploration of Multi-Chip Manycore Systems.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2024
Proceedings of the 51st ACM/IEEE Annual International Symposium on Computer Architecture, 2024
2023
Tascade: Hardware Support for Atomic-free, Asynchronous and Efficient Reduction Trees.
CoRR, 2023
DCRA: A Distributed Chiplet-based Reconfigurable Architecture for Irregular Applications.
CoRR, 2023
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023
Proceedings of the International Symposium on Memory Systems, 2023
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
Seizing the Bandwidth Scaling of On-Package Interconnect in a Post-Moore's Law World.
Proceedings of the 37th International Conference on Supercomputing, 2023
Dalorex: A Data-Local Program Execution and Architecture for Memory-bound Applications.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
DECADES: A 67mm<sup>2</sup>, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including Accelerators, Intelligent Storage, and eFPGA in 12nm FinFET.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023
CIFER: A 12nm, 16mm<sup>2</sup>, 22-Core SoC with a 1541 LUT6/mm<sup>2</sup> 1.92 MOPS/LUT, Fully Synthesizable, CacheCoherent, Embedded FPGA.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022
Tiny but mighty: designing and realizing scalable latency tolerance for manycore SoCs.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022
Proceedings of the 26th International Conference on Pattern Recognition, 2022
Proceedings of the GECCO '22: Genetic and Evolutionary Computation Conference, Boston, Massachusetts, USA, July 9, 2022
2021
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
2020
Burstable Instances for Clouds: Performance Modeling, Equilibrium Analysis, and Revenue Maximization.
IEEE/ACM Trans. Netw., 2020
Proceedings of the 17th USENIX Symposium on Networked Systems Design and Implementation, 2020
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020
Organic-Flow: An Open-Source Organic Standard Cell Library and Process Development Kit.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020
2019
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019
JuxtaPiton: Enabling Heterogeneous-ISA Research with RISC-V and SPARC FPGA Soft-cores.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019
2018
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018
Proceedings of the 2018 Workshop on Attacks and Solutions in Hardware Security, 2018
2017
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017
Towards Deploying Decommissioned Mobile Devices as Cheap Energy-Efficient Compute Nodes.
Proceedings of the 9th USENIX Workshop on Hot Topics in Cloud Computing, 2017
Proceedings of the 2017 Symposium on Cloud Computing, SoCC 2017, Santa Clara, CA, USA, 2017
2016
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016
Proceedings of the 2016 IEEE Hot Chips 28 Symposium (HCS), 2016
Proceedings of the Seventh ACM Symposium on Cloud Computing, 2016
Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, 2016
2015
Proceedings of the 48th International Symposium on Microarchitecture, 2015
Proceedings of the 48th International Symposium on Microarchitecture, 2015
2014
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the 2014 IEEE International Symposium on Performance Analysis of Systems and Software, 2014
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014
2012
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2010
Proceedings of the High Performance Embedded Architectures and Compilers, 2010
Proceedings of the 1st ACM Symposium on Cloud Computing, 2010
2009
Proceedings of the Multicore Processors and Systems, 2009
Factored operating systems (fos): the case for a scalable operating system for multicores.
ACM SIGOPS Oper. Syst. Rev., 2009
2008
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008
2007
2006
Proceedings of the Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006), 2006
2004
Evaluation of the Raw Microprocessor: An Exposed-Wire-Delay Architecture for ILP and Streams.
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004
A Quantitative Comparison of Reconfigurable, Tiled, and Conventional Architectures on Bit-Level Computation.
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004
2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
2002
The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs.
IEEE Micro, 2002