David R. Kaeli
Orcid: 0000-0002-5692-0151Affiliations:
- Northeastern University, Boston, USA
According to our database1,
David R. Kaeli
authored at least 277 papers
between 1989 and 2024.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2021, "For contributions to computer architecture and compilers".
IEEE Fellow
IEEE Fellow 2010, "For contributions to profile-guided optimization algorithms and dynamic branch prediction designs".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on ece.neu.edu
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
ASPLOS 2024 Artifact for "MaxK-GNN: Extremely Fast GPU Kernel Design for Accelerating Graph Neural Networks Training".
Dataset, February, 2024
ASPLOS 2024 Artifact for "MaxK-GNN: Extremely Fast GPU Kernel Design for Accelerating Graph Neural Networks Training".
Dataset, February, 2024
Proc. ACM Meas. Anal. Comput. Syst., 2024
NeuraChip: Accelerating GNN Computations with a Hash-based Decoupled Spatial Accelerator.
Proceedings of the 51st ACM/IEEE Annual International Symposium on Computer Architecture, 2024
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2024
Proceedings of the Thirty-Third International Joint Conference on Artificial Intelligence, 2024
Proceedings of the IEEE/ACM International Symposium on Code Generation and Optimization, 2024
AXI4MLIR: User-Driven Automatic Host Code Generation for Custom AXI-Based Accelerators.
Proceedings of the IEEE/ACM International Symposium on Code Generation and Optimization, 2024
MaxK-GNN: Extremely Fast GPU Kernel Design for Accelerating Graph Neural Networks Training.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024
2023
SECDA-TFLite: A toolkit for efficient development of FPGA-based DNN accelerators for edge inference.
J. Parallel Distributed Comput., March, 2023
IEEE Micro, 2023
MaxK-GNN: Towards Theoretical Speed Limits for Accelerating Graph Neural Networks Training.
CoRR, 2023
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023
Proceedings of the 2023 CHI Conference on Human Factors in Computing Systems, 2023
2022
IEEE Trans. Parallel Distributed Syst., 2022
Characterizing and Exploiting Soft Error Vulnerability Phase Behavior in GPU Applications.
IEEE Trans. Dependable Secur. Comput., 2022
Proceedings of the 2022 IEEE International Symposium on Secure and Private Execution Environment Design (SEED), 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
To Trust or to Stockpile: Modeling Human-Simulation Interaction in Supply Chain Shortages.
Proceedings of the CHI '22: CHI Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022, 2022
Proceedings of the CF '22: 19th ACM International Conference on Computing Frontiers, Turin, Italy, May 17, 2022
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2022
2021
Spartan: A Sparsity-Adaptive Framework to Accelerate Deep Neural Network Training on GPUs.
IEEE Trans. Parallel Distributed Syst., 2021
Comput. Graph. Forum, 2021
Performance Evaluation and Improvement of Real-Time Computer Vision Applications for Edge Computing Devices.
Proceedings of the ICPE '21: ACM/SPEC International Conference on Performance Engineering, 2021
Proceedings of the 2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021
SECDA: Efficient Hardware/Software Co-Design of FPGA-based DNN Accelerators for Edge Inference.
Proceedings of the 33rd IEEE International Symposium on Computer Architecture and High Performance Computing, 2021
Proceedings of the IEEE International Conference on Networking, Architecture and Storage, 2021
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021
Achieving on-Mobile Real-Time Super-Resolution with Neural Architecture and Pruning Search.
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Trident: A Hybrid Correlation-Collision GPU Cache Timing Attack for AES Key Recovery.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
Proceedings of the 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2021
A Secure and Reusable Software Architecture for Supporting Online Data Harmonization.
Proceedings of the 2021 IEEE International Conference on Big Data (Big Data), 2021
2020
Nacre<sup>*</sup>*Nacre, or mother-of-pearl, is one of nature's remarkable examples of a durable and break-resistant structure.: Durable, Secure and Energy-Efficient Non-Volatile Memory Utilizing Data Versioning.
IEEE Trans. Emerg. Top. Comput., 2020
ACM Trans. Archit. Code Optim., 2020
ACM Trans. Archit. Code Optim., 2020
Parallel Comput., 2020
HALCONE : A Hardware-Level Timestamp-based Cache Coherence Scheme for Multi-GPU systems.
CoRR, 2020
Design Space Exploration of Accelerators and End-to-End DNN Evaluation with TFLITE-SOC.
Proceedings of the 32nd IEEE International Symposium on Computer Architecture and High Performance Computing, 2020
Proceedings of the 28th International Symposium on Modeling, 2020
Proceedings of the IEEE International Symposium on Workload Characterization, 2020
Using Undersampling with Ensemble Learning to Identify Factors Contributing to Preterm Birth.
Proceedings of the 19th IEEE International Conference on Machine Learning and Applications, 2020
Griffin: Hardware-Software Support for Efficient Page Migration in Multi-GPU Systems.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020
Proceedings of the 2020 IEEE International Symposium on Hardware Oriented Security and Trust, 2020
Vega: A Computer Vision Processing Enhancement Framework with Graph-based Acceleration.
Proceedings of the 53rd Hawaii International Conference on System Sciences, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Introducing Gamettes: A Playful Approach for Capturing Decision-Making for Informing Behavioral Models.
Proceedings of the CHI '20: CHI Conference on Human Factors in Computing Systems, 2020
Proceedings of the PACT '20: International Conference on Parallel Architectures and Compilation Techniques, 2020
2019
IEEE Trans. Reliab., 2019
Intra-Cluster Coalescing and Distributed-Block Scheduling to Reduce GPU NoC Pressure.
IEEE Trans. Computers, 2019
ACM Trans. Archit. Code Optim., 2019
Student cluster competition 2018, team northeastern university: Reproducing performance of a multi-physics simulations of the Tsunamigenic 2004 Sumatra Megathrust earthquake on the AMD EPYC 7551 architecture.
Parallel Comput., 2019
IEEE Comput. Archit. Lett., 2019
Proceedings of the 46th International Symposium on Computer Architecture, 2019
Exploiting Adaptive Data Compression to Improve Performance and Energy-Efficiency of Compute Workloads in Multi-GPU Systems.
Proceedings of the 2019 IEEE International Parallel and Distributed Processing Symposium, 2019
Proceedings of the 18th IEEE International Conference On Machine Learning And Applications, 2019
A Comprehensive Evaluation of the Effects of Input Data on the Resilience of GPU Applications.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019
PCFI: Program Counter Guided Fault Injection for Accelerating GPU Reliability Assessment.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
2018
IEEE Trans. Computers, 2018
Block Cooperation: Advancing Lifetime of Resistive Memories by Increasing Utilization of Error Correcting Codes.
ACM Trans. Archit. Code Optim., 2018
Student cluster competition 2017, team Northeastern University: Reproducing vectorization of the Tersoff multi-body potential on the NVIDIA V100.
Parallel Comput., 2018
An Integrated simulation Framework for examining Resiliency in pharmaceutical supply Chains considering Human Behaviors.
Proceedings of the 2018 Winter Simulation Conference, 2018
Characterizing the Microarchitectural Implications of a Convolutional Neural Network (CNN) Execution on GPUs.
Proceedings of the 2018 ACM/SPEC International Conference on Performance Engineering, 2018
Proceedings of the International Conference for High Performance Computing, 2018
Proceedings of the 2018 IEEE/ACM Workshop on Education for High-Performance Computing, 2018
Proceedings of the 2018 IEEE/ACM Workshop on Education for High-Performance Computing, 2018
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2018
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium, 2018
Proceedings of the 2018 IEEE International Symposium on Workload Characterization, 2018
Proceedings of the 36th IEEE International Conference on Computer Design, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Effective simple-power analysis attacks of elliptic curve cryptography on embedded systems.
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2018
Evaluating the impact of execution parameters on program vulnerability in GPU applications.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
An Efficient Data Management Framework for Puerto Rico Testsite for Exploring Contamination Threats (PROTECT).
Proceedings of the IEEE International Conference on Big Data (IEEE BigData 2018), 2018
Proceedings of the IEEE International Conference on Big Data (IEEE BigData 2018), 2018
Proceedings of the IEEE/ACM 2018 International Conference on Advances in Social Networks Analysis and Mining, 2018
Proceedings of the International Conference on Artificial Intelligence and Statistics, 2018
2017
Scalable and massively parallel Monte Carlo photon transport simulations for heterogeneous computing platforms.
CoRR, 2017
Proceedings of the General Purpose GPUs, 2017
Combining architectural fault-injection and neutron beam testing approaches toward better understanding of GPU soft-error resilience.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017
Proceedings of the International Symposium on Memory Systems, 2017
Proceedings of the 2017 IEEE International Symposium on Performance Analysis of Systems and Software, 2017
Proceedings of the 2017 IEEE International Symposium on Workload Characterization, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Quality of Service-Aware Dynamic Voltage and Frequency Scaling for Mobile 3D Graphics Applications.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017
Proceedings of the Euro-Par 2017: Parallel Processing - 23rd International Conference on Parallel and Distributed Computing, Santiago de Compostela, Spain, August 28, 2017
Exploring the Potential for Collaborative Data Compression and Hard-Error Tolerance in PCM Memories.
Proceedings of the 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2017
Live together or Die Alone: Block cooperation to extend lifetime of resistive memories.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the 2017 International Symposium on Code Generation and Optimization, 2017
High-Performance Monte Carlo Simulations for Photon Migration and Applications in Optical Brain Functional Imaging.
Proceedings of the Handbook of Large-Scale Distributed Computing in Smart Healthcare, 2017
2016
UMH: A Hardware-Based Unified Memory Hierarchy for Systems with Multiple Discrete GPUs.
ACM Trans. Archit. Code Optim., 2016
A Fast Level-Set Segmentation Algorithm for Image Processing Designed For Parallel Architectures.
Proceedings of the 6th Workshop on Irregular Applications: Architecture and Algorithms, 2016
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium, 2016
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium, 2016
Proceedings of the 2016 IEEE International Symposium on Workload Characterization, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
Proceedings of the IEEE Conference on Computational Intelligence and Games, 2016
2015
SIGARCH Comput. Archit. News, 2015
Microprocess. Microsystems, 2015
IACR Cryptol. ePrint Arch., 2015
Proceedings of the 6th ACM/SPEC International Conference on Performance Engineering, Austin, TX, USA, January 31, 2015
Proceedings of the Workshop on Computer Architecture Education, 2015
Proceedings of the Workshop on Computer Architecture Education, 2015
Field, experimental, and analytical data on large-scale HPC systems and evaluation of the implications for exascale system design.
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015
Proceedings of the 8th Workshop on General Purpose Processing using GPUs, 2015
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015
Securing virtual execution environments through machine learning-based intrusion detection.
Proceedings of the 25th IEEE International Workshop on Machine Learning for Signal Processing, 2015
Proceedings of the 3rd International Workshop on OpenCL, 2015
Proceedings of the 3rd International Workshop on OpenCL, 2015
Proceedings of the 29th ACM on International Conference on Supercomputing, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Bridging Architecture and Programming for Throughput-Oriented Vision Processing (Abstract Only).
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015
Proceedings of the 2015 IEEE International Conference on Cluster Computing, 2015
2014
J. Comput. Sci. Technol., 2014
Analyzing power efficiency of optimization techniques and algorithm design methods for applications on heterogeneous platforms.
Int. J. High Perform. Comput. Appl., 2014
IACR Cryptol. ePrint Arch., 2014
Proceedings of the IEEE Eighth International Conference on Software Security and Reliability, 2014
Runtime Support for Adaptive Spatial Partitioning and Inter-Kernel Communication on GPUs.
Proceedings of the 26th IEEE International Symposium on Computer Architecture and High Performance Computing, 2014
Calculating Architectural Vulnerability Factors for Spatial Multi-Bit Transient Faults.
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014
Scalable and efficient implementation of correlation power analysis using graphics processing units (GPUs).
Proceedings of the HASP 2014, 2014
Proceedings of the 2014 IEEE 28th International Parallel and Distributed Processing Symposium, 2014
Proceedings of the 43rd International Conference on Parallel Processing Workshops, 2014
Proceedings of the Computer Vision and Graphics - International Conference, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Performance Evaluation and Optimization Mechanisms for Inter-operable Graphics and Computation on GPUs.
Proceedings of the Seventh Workshop on General Purpose Processing Using GPUs, 2014
Proceedings of the Numerical Computations with GPUs, 2014
2013
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2013
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2013
Proceedings of the 27th IEEE International Symposium on Parallel and Distributed Processing, 2013
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013
Proceedings of the IEEE 33rd International Conference on Distributed Computing Systems, 2013
Proceedings of the Compiler Construction - 22nd International Conference, 2013
Proceedings of the 6th Workshop on General Purpose Processor Using Graphics Processing Units, 2013
Morgan Kaufmann, ISBN: 978-0-12-405894-1, 2013
2012
A Sequentially Consistent Multiprocessor Architecture for Out-of-Order Retirement of Instructions.
IEEE Trans. Parallel Distributed Syst., 2012
Proceedings of the 4th Asian Conference on Machine Learning, 2012
Proceedings of the Research in Attacks, Intrusions, and Defenses, 2012
GPU-Accelerated Feature Selection for Outlier Detection Using the Local Kernel Density Ratio.
Proceedings of the 12th IEEE International Conference on Data Mining, 2012
Proceedings of the 12th IEEE International Conference on Data Mining, 2012
Proceedings of the Euro-Par 2012 Parallel Processing - 18th International Conference, 2012
Proceedings of the 5th Annual Workshop on General Purpose Processing with Graphics Processing Units, 2012
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012
2011
Exploiting Memory Access Patterns to Improve Memory Performance in Data-Parallel Architectures.
IEEE Trans. Parallel Distributed Syst., 2011
Guest Editor's Introduction: Special Issue on High-Performance Computing with Accelerators.
IEEE Trans. Parallel Distributed Syst., 2011
Accelerating an Imaging Spectroscopy Algorithm for Submerged Marine Environments Using Graphics Processing Units.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2011
ACM SIGOPS Oper. Syst. Rev., 2011
Proceedings of the MASCOTS 2011, 2011
Proceedings of the IEEE 23rd International Conference on Tools with Artificial Intelligence, 2011
Feature Selection Metric Using AUC Margin for Small Samples and Imbalanced Data Classification Problems.
Proceedings of the 10th International Conference on Machine Learning and Applications and Workshops, 2011
Proceedings of the IEEE 29th International Conference on Computer Design, 2011
Proceedings of the 8th Conference on Computing Frontiers, 2011
Proceedings of 4th Workshop on General Purpose Processing on Graphics Processing Units, 2011
Proceedings of 4th Workshop on General Purpose Processing on Graphics Processing Units, 2011
2010
Proceedings of the first joint WOSP/SIPEW International Conference on Performance Engineering, 2010
Proceedings of the High Performance Computing for Computational Science - VECPAR 2010, 2010
Proceedings of the Recent Advances in Intrusion Detection, 13th International Symposium, 2010
Data transformations enabling loop vectorization on multithreaded data parallel architectures.
Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2010
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010
Effective Virtual Machine Monitor Intrusion Detection Using Feature Selection on Highly Imbalanced Data.
Proceedings of the Ninth International Conference on Machine Learning and Applications, 2010
Proceedings of the 28th International Conference on Computer Design, 2010
Accelerating the local outlier factor algorithm on a GPU for intrusion detection systems.
Proceedings of 3rd Workshop on General Purpose Processing on Graphics Processing Units, 2010
2009
AGAMOS: A Graph-Based Approach to Modulo Scheduling for Clustered Microarchitectures.
IEEE Trans. Computers, 2009
Microelectron. Reliab., 2009
Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, 2009
Proceedings of the 2009 IEEE International Symposium on Biomedical Imaging: From Nano to Macro, Boston, MA, USA, June 28, 2009
Proceedings of the 2009 IEEE International Symposium on Biomedical Imaging: From Nano to Macro, Boston, MA, USA, June 28, 2009
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009
Accelerating phase unwrapping and affine transformations for optical quadrature microscopy using CUDA.
Proceedings of 2nd Workshop on General Purpose Processing on Graphics Processing Units, 2009
Proceedings of 2nd Workshop on General Purpose Processing on Graphics Processing Units, 2009
2008
J. Parallel Distributed Comput., 2008
Interactive Deformable Registration Visualization and Analysis of 4D Computed Tomography.
Proceedings of the Medical Biometrics, First International Conference, 2008
A Field Analysis of System-level Effects of Soft Errors Occurring in Microprocessors used in Information Systems.
Proceedings of the 2008 IEEE International Test Conference, 2008
Archer: A Community Distributed Computing Infrastructure for Computer Architecture Research and Education.
Proceedings of the Collaborative Computing: Networking, 2008
Applying Spectral Analysis to Identify Individual Application Signatures.
Proceedings of the 34th International Computer Measurement Group Conference, 2008
Proceedings of the 5th Conference on Computing Frontiers, 2008
2007
Trans. High Perform. Embed. Archit. Compil., 2007
SIGARCH Comput. Archit. News, 2007
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007
Proceedings of the 19th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2007), 2007
Proceedings of the Embedded Computer Systems: Architectures, 2007
Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, 2007
Proceedings of the Fifth International Symposium on Code Generation and Optimization (CGO 2007), 2007
2006
J. Supercomput., 2006
IEEE Trans. Dependable Secur. Comput., 2006
Inf. Process. Lett., 2006
Proceedings of the 2006 Workshop on Computer Architecture Education, 2006
Performance Characterization of SPEC CPU2006 Integer Benchmarks on x86-64 Architecture.
Proceedings of the 2006 IEEE International Symposium on Workload Characterization, 2006
Proceedings of the 12th International Conference on Parallel and Distributed Systems, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the 1st Workshop on Architectural and System Support for Improving Software Dependability, 2006
2005
A reliable return address stack: microarchitectural features to defeat stack smashing.
SIGARCH Comput. Archit. News, 2005
Proceedings of the ACM SIGMOD International Conference on Management of Data, 2005
Proceedings of the ACM SIGPLAN 2005 Conference on Programming Language Design and Implementation, 2005
Proceedings of the Eleventh ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, 2005
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2005
Proceedings of the 2005 IEEE International Conference on Cluster Computing (CLUSTER 2005), September 26, 2005
Proceedings of the Second Conference on Computing Frontiers, 2005
2004
Removing communications in clustered microarchitectures through instruction replication.
ACM Trans. Archit. Code Optim., 2004
Int. J. High Perform. Comput. Netw., 2004
Proceedings of the 16th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2004), 2004
Proceedings of the 16th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2004), 2004
Bus Power Estimation and Power-Efficient Bus Arbitration for System-on-a-Chip Embedded Systems.
Proceedings of the Power-Aware Computer Systems, 4th International Workshop, 2004
Proceedings of the 12th International Workshop on Modeling, 2004
Parallel Maximum Weight Bipartite Matching Algorithms for Scheduling in Input-Queued Switches.
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004
Proceedings of the 2004 IEEE International Geoscience and Remote Sensing Symposium, 2004
Proceedings of the 26th International Conference on Software Engineering (ICSE 2004), 2004
2003
Realizing high IPC through a scalable memory-latency tolerant multipath microarchitecture.
SIGARCH Comput. Archit. News, 2003
Proceedings of the 15th International Conference on Scientific and Statistical Database Management (SSDBM 2003), 2003
Proceedings of the International Workshop on Storage Network Architecture and Parallel I/Os, 2003
Dynamic Input Buffer Allocation (DIBA) for Fault Tolerant Ethernet Packet Switching.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2003
Proceedings of the 36th Annual International Symposium on Microarchitecture, 2003
Proceedings of the 17th Annual International Conference on Supercomputing, 2003
2002
Localized Message Passing Structure for High Speed Ethernet Packet Switching.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2002
Proceedings of the Euro-Par 2002, 2002
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 2002
2001
IEEE Trans. Computers, 2001
2000
SIGARCH Comput. Archit. News, 2000
Learning outside of the classroom: the Northeastern University research co-op fellowship program.
Proceedings of the 2000 workshop on Computer architecture education, 2000
Proceedings of the 2000 IEEE International Symposium on Performance Analysis of Systems and Software, 2000
Proceedings of the Proceedings 33th Annual Simulation Symposium (SS 2000), 2000
1999
Analysis of Temporal-Based Program Behavior for Improved Instruction Cache Performance.
IEEE Trans. Computers, 1999
SIGARCH Comput. Archit. News, 1999
J. Instr. Level Parallelism, 1999
Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, 1999
1998
Digit. Tech. J., 1998
Proceedings of the 31st Annual IEEE/ACM International Symposium on Microarchitecture, 1998
Proceedings of the Fourth International Symposium on High-Performance Computer Architecture, Las Vegas, Nevada, USA, January 31, 1998
Proceedings of the Proceedings 31st Annual Simulation Symposium (SS '98), 1998
1997
IEEE Trans. Computers, 1997
Proceedings of the 1997 workshop on Computer architecture education, 1997
Proceedings of the ACM SIGPLAN '97 Conference on Programming Language Design and Implementation (PLDI), 1997
Proceedings of the MASCOTS 1997, 1997
Digital Computer Architecture.
Proceedings of the Computer Science and Engineering Handbook, 1997
1996
Real-Time Trace Generation.
Int. J. Comput. Simul., 1996
Improving Multiprocessor Scalability Using Lockup Free Caches.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 1996
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996
Proceedings of the Proceedings 29st Annual Simulation Symposium (SS '96), 1996
The DLX instruction set architecture handbook.
Morgan Kaufmann, ISBN: 978-1-55860-371-4, 1996
1995
Combining object-oriented design and computer architecture into a single senior-level course.
Proceedings of the 1995 Workshop on Computer Architecture Education, 1995
Scalable Performance on a Distributed Shared-Memory Machine.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 1995
1993
Proceedings of the Performance Evaluation of Computer and Communication Systems, 1993
1992
Contrasting instruction-fetch time and instruction-decode time branch prediction mechanisms: Achieving synergy through their cooperative operation.
Microprocess. Microprogramming, 1992
1991
Proceedings of the 1991 ACM SIGSMALL/PC Symposium on Small Systems, 1991
Proceedings of the 18th Annual International Symposium on Computer Architecture. Toronto, 1991
1989
PC Workload Characterization.
Proceedings of the 1989 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, 1989