David A. Patterson
Orcid: 0000-0003-0902-7093Affiliations:
- Google, Mountain View, CA, USA
- University of California, Berkeley, Computer Science Division
According to our database1,
David A. Patterson
authored at least 261 papers
between 1975 and 2024.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 1994, "Professor Patterson is a quintessential example of a professor who combines highest intellectual ability and technical expertise with a total dedication to his role as a teacher, mentor, and friend of his students.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on acm.org
-
on viaf.org
-
on orcid.org
-
on id.loc.gov
-
on d-nb.info
-
on isni.org
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
Commun. ACM, April, 2024
2023
Fifty Years of the International Symposium on Computer Architecture: A Data-Driven Retrospective.
IEEE Micro, 2023
TPU v4: An Optically Reconfigurable Supercomputer for Machine Learning with Hardware Support for Embeddings.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
2022
Computer, 2022
2021
Commun. ACM, 2021
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
2020
IEEE Trans. Big Data, 2020
IEEE Micro, 2020
Proceedings of the Third Conference on Machine Learning and Systems, 2020
Proceedings of the IEEE Hot Chips 32 Symposium, 2020
2019
BROOM: An Open-Source Out-of-Order Processor With Resilient Low-Voltage Operation in 28-nm CMOS.
IEEE Micro, 2019
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019
2018
A New Golden Age in Computer Architecture: Empowering the Machine-Learning Revolution.
IEEE Micro, 2018
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018
50 Years of computer architecture: From the mainframe CPU to the domain-specific tpu and the open RISC-V instruction set.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018
2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium, 2017
2016
The Renewed Case for the Reduced Instruction Set Computer: Avoiding ISA Bloat with Macro-Op Fusion for RISC-V.
CoRR, 2016
2015
J. Am. Medical Informatics Assoc., 2015
Proceedings of the SOSP History Day 2015, Monterey, California, USA, October 4, 2015, 2015
Proceedings of the 2015 ACM SIGMOD International Conference on Management of Data, Melbourne, Victoria, Australia, May 31, 2015
Proceedings of the 5th Workshop on Irregular Applications - Architectures and Algorithms, 2015
Locality Exists in Graph Processing: Workload Characterization on an Ivy Bridge Server.
Proceedings of the 2015 IEEE International Symposium on Workload Characterization, 2015
Proceedings of the Trends in Digital Education: Selected papers from EC-TEL 2015 Workshops CHANGEE, 2015
Proceedings of the 2015 IEEE International Conference on Big Data (IEEE BigData 2015), Santa Clara, CA, USA, October 29, 2015
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015
2014
Proceedings of the Research in Computational Molecular Biology, 2014
2013
Proceedings of the ACM SIGMOD International Conference on Management of Data, 2013
A hardware evaluation of cache partitioning to improve utilization and energy-efficiency while preserving responsiveness.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013
Proceedings of the 2013 IEEE Hot Chips 25 Symposium (HCS), 2013
2012
Commun. ACM, 2012
Proceedings of the 43rd ACM technical symposium on Computer science education, 2012
Proceedings of the CSCW '12 Computer Supported Cooperative Work, Seattle, WA, USA, February 11-15, 2012, 2012
Computer Organization and Design - The Hardware / Software Interface (Revised 4th Edition).
The Morgan Kaufmann Series in Computer Architecture and Design, Academic Press, ISBN: 978-0-12-374750-1, 2012
Computer Architecture - A Quantitative Approach, 5th Edition.
Morgan Kaufmann, ISBN: 9789381269220, 2012
2011
CUDA-level Performance with Python-level Productivity for Gaussian Mixture Model Applications.
Proceedings of the 3rd USENIX Workshop on Hot Topics in Parallelism, 2011
The SCADS Director: Scaling a Distributed Storage System Under Stringent Performance Requirements.
Proceedings of the 9th USENIX Conference on File and Storage Technologies, 2011
2010
IEEE Micro, 2010
Software knows best: portable parallelism requires standardized measurements of transparent hardware.
Proceedings of the first joint WOSP/SIPEW International Conference on Performance Engineering, 2010
The Parallel Revolution Has Started: Are You Part of the Solution or Part of the Problem? - An Overview of Research at the Berkeley Parallel Computing Laboratory.
Proceedings of the High Performance Computing for Computational Science - VECPAR 2010, 2010
Proceedings of the ACM SIGMOD International Conference on Management of Data, 2010
Proceedings of the Workshop on Managing Systems via Log Analysis and Machine Learning Techniques, 2010
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010
Proceedings of the 27th International Conference on Machine Learning (ICML-10), 2010
Proceedings of the Workshops Proceedings of the 26th International Conference on Data Engineering, 2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 1st ACM Symposium on Cloud Computing, 2010
Proceedings of the 1st ACM Symposium on Cloud Computing, 2010
2009
Commun. ACM, 2009
Proceedings of the ICDM 2009, 2009
Predicting Multiple Metrics for Queries: Better Decisions Enabled by Machine Learning.
Proceedings of the 25th International Conference on Data Engineering, 2009
Proceedings of the 1st Workshop on Automated Control for Datacenters and Clouds, 2009
Statistical Machine Learning Makes Automatic Control Practical for Internet Datacenters.
Proceedings of the Workshop on Hot Topics in Cloud Computing, 2009
Proceedings of the Fourth Biennial Conference on Innovative Data Systems Research, 2009
2008
ACM Trans. Internet Techn., 2008
Stencil computation optimization and auto-tuning on state-of-the-art multicore architectures.
Proceedings of the ACM/IEEE Conference on High Performance Computing, 2008
Proceedings of the Third Workshop on Tackling Computer Systems Problems with Machine Learning Techniques, 2008
2007
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007
Morgan Kaufmann, ISBN: 978-0-12-370490-0, 2007
Computer organization and design - the hardware / software interface (3. ed.).
Morgan Kaufmann, ISBN: 978-0-12-370606-5, 2007
2006
Commun. ACM, 2006
Proceedings of the 2006 USENIX Annual Technical Conference, 2006
Proceedings of the 20th Conference on Systems Administration (LISA 2006), 2006
Proceedings of the Languages and Compilers for Parallel Computing, 2006
RAMP: research accelerator for multiple processors - a community vision for a shared experimental parallel HW/SW platform.
Proceedings of the 2006 IEEE International Symposium on Performance Analysis of Systems and Software, 2006
Proceedings of the 2006 IEEE Hot Chips 18 Symposium (HCS), 2006
2005
IEEE Internet Comput., 2005
The <i>new</i> Professional Development Centre boasts 1, 000 courses, O'Reilly Books, and CS classics.
Commun. ACM, 2005
Commun. ACM, 2005
Commun. ACM, 2005
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Combining Visualization and Statistical Analysis to Improve Operator Confidence and Efficiency for Failure Detection and Localization.
Proceedings of the Second International Conference on Autonomic Computing (ICAC 2005), 2005
Proceedings of the 14th IEEE International Symposium on High Performance Distributed Computing, 2005
Proceedings of the Ambient Networks, 2005
Proceedings of the 2005 International Conference on Dependable Systems and Networks (DSN 2005), 28 June, 2005
Rechnerorganisation und -entwurf - die Hardware / Software-Schnittstelle (3. Aufl.).
Elsevier Spektrum Akadem. Verl., ISBN: 978-3-8274-1595-0, 2005
2004
Commun. ACM, 2004
Proceedings of the 1st ACM SIGSOFT Workshop on Self-Managed Systems, 2004
Proceedings of the First USENIX Workshop on Real, Large Distributed Systems, 2004
Proceedings of the 1st Symposium on Networked Systems Design and Implementation (NSDI 2004), 2004
Proceedings of the 2004 International Conference on Dependable Systems and Networks (DSN 2004), 28 June, 2004
2003
Proceedings of the 4th USENIX Symposium on Internet Technologies and Systems, 2003
Proceedings of the General Track: 2003 USENIX Annual Technical Conference, 2003
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003
Computer architecture - a quantitative approach, 3rd Edition.
Morgan Kaufmann, ISBN: 978-1-55860-596-1, 2003
2002
IEEE Internet Comput., 2002
Proceedings of the 10th ACM SIGOPS European Workshop, Saint-Emilion, France, July 1, 2002, 2002
Proceedings of the 10th ACM SIGOPS European Workshop, Saint-Emilion, France, July 1, 2002, 2002
Proceedings of the 35th Annual International Symposium on Microarchitecture, 2002
Proceedings of the 16th Conference on Systems Administration (LISA 2002), 2002
Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), 2002
Proceedings of the 2002 International Conference on Parallel Architectures and Compilation Techniques (PACT 2002), 2002
2001
2000
Ideal bootstrap estimation of expected prediction error for k-nearest neighbor classifiers: Applications for classification and error assessment.
Stat. Comput., 2000
Proceedings of the General Track: 2000 USENIX Annual Technical Conference, 2000
Proceedings of the Intelligent Memory Systems, Second International Workshop, 2000
1999
Hardware Technology Trends and Database Opportunities, SIGMOD Conference 1998 Keynote Speech, Video
ACM SIGMOD Digit. Symp. Collect., 1999
Proceedings of the Third USENIX Symposium on Operating Systems Design and Implementation (OSDI), 1999
Proceedings of the 16th IEEE Symposium on Mass Storage Systems in cooperation with the 7th NASA Goddard Space Flight Center Conference on Mass Storage Systems and Technologies, 1999
Proceedings of the 16th IEEE Symposium on Mass Storage Systems in cooperation with the 7th NASA Goddard Space Flight Center Conference on Mass Storage Systems and Technologies, 1999
Proceedings of the 13th Conference on Systems Administration (LISA-99), 1999
Proceedings of the Sixth Workshop on I/O in Parallel and Distributed Systems, 1999
Proceedings of The Seventh Workshop on Hot Topics in Operating Systems, 1999
1998
Proceedings of the SIGMETRICS Symposium on Parallel and Distributed Tools, 1998
Proceedings of the 25 Years of the International Symposia on Computer Architecture (Selected Papers)., 1998
Proceedings of the 25th Annual International Symposium on Computer Architecture, 1998
Proceedings of the 25 Years of the International Symposia on Computer Architecture (Selected Papers)., 1998
Proceedings of the 25 Years of the International Symposia on Computer Architecture (Selected Papers)., 1998
The Architectural Costs of Streaming I/O: A Comparison of Workstations, Clusters, and SMPs.
Proceedings of the Fourth International Symposium on High-Performance Computer Architecture, Las Vegas, Nevada, USA, January 31, 1998
1997
Proceedings of the SIGMOD 1997, 1997
Proceedings of the 11th Conference on Systems Administration (LISA-97), 1997
Proceedings of the 24th International Symposium on Computer Architecture, 1997
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
Proceedings of the Fourth International on High-Performance Computing, 1997
Computer Organization & Design: The Hardware/Software Interface, Second Edition
Morgan Kaufmann, ISBN: 1-55860-428-6, 1997
1996
Computer Architecture: A Quantitative Approach, 2nd Edition
Morgan Kaufmann, ISBN: 1-55860-329-8, 1996
1995
Proceedings of the 1995 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems, 1995
Proceedings of the Fourteenth Annual ACM Symposium on Principles of Distributed Computing, 1995
Proceedings of the Fourteenth IEEE Symposium on Mass Storage Systems, 1995
Proceedings of the Third ACM International Conference on Multimedia '95, 1995
Proceedings of the COMPCON '95: Technologies for the Information Superhighway, 1995
1994
A New Approach to I/O Performance Evaluation - Self-Scaling I/O Benchmarks, Predicted I/O Performance.
ACM Trans. Comput. Syst., 1994
Distributed Parallel Databases, 1994
Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems, 1994
Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems, 1994
Proceedings of the First USENIX Symposium on Operating Systems Design and Implementation (OSDI), 1994
Proceedings of the 13th IEEE Symposium on Mass Storage Systems, 1994
Proceedings of the 21st Annual International Symposium on Computer Architecture. Chicago, 1994
Proceedings of the Hot Interconnects II, 1994
Terabytes >> Teraflops or Why Work on Processors When I/O is Where the Action is? (Abstract).
Proceedings of the ACM 22rd Annual Computer Science Conference on Scaling up: Meeting the Challenge of Complexity in Real-World Computing Applications, 1994
Computer Organization & Design: The Hardware/Software Interface
Morgan Kaufmann, ISBN: 1-55860-282-8, 1994
Rechnerarchitektur - Analyse, Entwurf, Implementierung, Bewertung.
Vieweg Lehrbuch Informatik, Vieweg, ISBN: 978-3-528-05173-0, 1994
1993
J. Parallel Distributed Comput., 1993
Proceedings of the Fourth ACM SIGPLAN Symposium on Principles & Practice of Parallel Programming (PPOPP), 1993
Massive Parallelism and Massive Storage: Trends and Predictions for 1995 to 2000.
Proceedings of the 2nd International Conference on Parallel and Distributed Information Systems (PDIS 1993), 1993
1992
Proceedings of the 19th Annual International Symposium on Computer Architecture. Gold Coast, 1992
1991
SIGARCH Comput. Archit. News, 1991
1990
Proceedings of the 1990 ACM SIGMETRICS conference on Measurement and modeling of computer systems, 1990
Proceedings of the 17th Annual International Symposium on Computer Architecture, 1990
Computer Architecture: A Quantitative Approach.
Morgan Kaufmann, ISBN: 1-55860-188-0, 1990
1989
A VLSI chip set for a multiprocessor workstation. I. An RISC microprocessor with coprocessor interface and support for symbolic processing.
IEEE J. Solid State Circuits, December, 1989
A VLSI chip set for a multiprocessor workstation. II. A memory management unit and cache controller.
IEEE J. Solid State Circuits, December, 1989
Proceedings of the Thirty-Fourth IEEE Computer Society International Conference: Intellectual Leverage, 1989
Proceedings of the Thirty-Fourth IEEE Computer Society International Conference: Intellectual Leverage, 1989
Proceedings of the ASPLOS-III Proceedings, 1989
1988
Proceedings of the Fourteenth International Conference on Very Large Data Bases, August 29, 1988
Proceedings of the 1988 ACM SIGMOD International Conference on Management of Data, 1988
Proceedings of the COMPCON'88, Digest of Papers, Thirty-Third IEEE Computer Society International Conference, San Francisco, California, USA, February 29, 1988
1987
Proceedings of the 8th IEEE Symposium on Computer Arithmetic, 1987
1986
Proceedings of the 13th Annual Symposium on Computer Architecture, Tokyo, Japan, June 1986, 1986
Proceedings of the 13th Annual Symposium on Computer Architecture, Tokyo, Japan, June 1986, 1986
1985
1984
Proceedings of the 11th Annual Symposium on Computer Architecture, 1984
1983
Proceedings of the 10th Annual Symposium on Computer Architecture, 1983, 1983
1982
Proceedings of the 9th International Symposium on Computer Architecture (ISCA 1982), 1982
A RISCy Approach to Computer Design.
Proceedings of the COMPCON'82, 1982
1981
Commun. ACM, 1981
Proceedings of the 8th Annual Symposium on Computer Architecture, 1981
Proceedings of the 5th IEEE Symposium on Computer Arithmetic, 1981
Proceedings of the American Federation of Information Processing Societies: 1981 National Computer Conference, 1981
1980
IEEE Trans. Computers, 1980
SIGARCH Comput. Archit. News, 1980
1979
Proceedings of the 12th annual workshop on Microprogramming, 1979
Proceedings of the 6th Annual Symposium on Computer Architecture, 1979
1978
Proceedings of the 5th Annual Symposium on Computer Architecture, 1978
An approach to firmware engineering.
Proceedings of the American Federation of Information Processing Societies: 1978 National Computer Conference, 1978
Proceedings of the Proceedings 1978 ACM Annual Conference, 1978
1976
IEEE Trans. Computers, 1976
1975
Proceedings of the 8th annual workshop on Microprogramming, 1975