Chun Jason Xue
Orcid: 0000-0002-6431-9868Affiliations:
- Mohamed bin Zayed University of Artificial Intelligence (MBZUAI), Abu Dhabi, United Arab Emirates
- City University of Hong Kong, Department of Computer Science, Kowloon, Hong Kong (former)
- University of Texas at Dallas, Richardson, TX, USA (PhD 2007)
According to our database1,
Chun Jason Xue
authored at least 400 papers
between 2004 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on orcid.org
-
on mlab.hk
On csauthors.net:
Bibliography
2024
ACM Trans. Storage, November, 2024
ACM Trans. Archit. Code Optim., September, 2024
IEEE Trans. Mob. Comput., May, 2024
IEEE Trans. Computers, March, 2024
IEEE Trans. Parallel Distributed Syst., January, 2024
SHAP-CAT: A interpretable multi-modal framework enhancing WSI classification via virtual staining and shapley-value-based multimodal fusion.
CoRR, 2024
Advances in Multiple Instance Learning for Whole Slide Image Analysis: Techniques, Challenges, and Future Directions.
CoRR, 2024
CoRR, 2024
BehaviorGPT: Smart Agent Simulation for Autonomous Driving with Next-Patch Prediction.
CoRR, 2024
RAEE: A Training-Free Retrieval-Augmented Early Exiting Framework for Efficient Inference.
CoRR, 2024
IHC Matters: Incorporating IHC analysis to H&E Whole Slide Image Analysis for Improved Cancer Grading via Two-stage Multimodal Bilinear Pooling Fusion.
CoRR, 2024
Improving Natural Language Understanding with Computation-Efficient Retrieval Representation Fusion.
CoRR, 2024
Comput. Networks, 2024
Proceedings of the 39th ACM/SIGAPP Symposium on Applied Computing, 2024
Timely Fusion of Surround Radar/Lidar for Object Detection in Autonomous Driving Systems.
Proceedings of the 30th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2024
RTiL: Real-Time Inference of Large Language Models on Memory-Constrained GPU Devices.
Proceedings of the 30th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2024
Proceedings of the 13th Non-Volatile Memory Systems and Applications Symposium, 2024
Personalized Federated Learning with Auction-Based Client Selection and Edge-Enhanced Model Accuracy.
Proceedings of the International Joint Conference on Neural Networks, 2024
ReFusion: Improving Natural Language Understanding with Computation-Efficient Retrieval Representation Fusion.
Proceedings of the Twelfth International Conference on Learning Representations, 2024
Proceedings of the 40th IEEE International Conference on Data Engineering, 2024
Proceedings of the IEEE International Conference on Communications, 2024
Midas Touch: Invalid-Data Assisted Reliability and Performance Boost for 3d High-Density Flash.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024
Proceedings of the 16th ACM Workshop on Hot Topics in Storage and File Systems, 2024
When Compression Meets Model Compression: Memory-Efficient Double Compression for Large Language Models.
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2024, 2024
CHESS: Optimizing LLM Inference via Channel-Wise Thresholding and Selective Sparsification.
Proceedings of the 2024 Conference on Empirical Methods in Natural Language Processing, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024
More Apps, Faster Hot-Launch on Mobile Devices via Fore/Background-aware GC-Swap Co-design.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024
Palantir: Hierarchical Similarity Detection for Post-Deduplication Delta Compression.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024
2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023
Comput. Networks, October, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., September, 2023
IEEE Trans. Computers, April, 2023
Reliable and Efficient Parallel Checkpointing Framework for Nonvolatile Processor With Concurrent Peripherals.
IEEE Trans. Circuits Syst. I Regul. Pap., January, 2023
Proceedings of the ACM SIGCOMM 2023 Conference, 2023
Proceedings of the IEEE Real-Time Systems Symposium, 2023
Proceedings of the 29th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2023
Proceedings of the 12th Non-Volatile Memory Systems and Applications Symposium, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the IEEE International Conference on Mobility, 2023
Proceedings of the 31st ACM International Conference on Multimedia, 2023
Proceedings of the IEEE INFOCOM 2023, 2023
Bayes-MIL: A New Probabilistic Perspective on Attention-based Multiple Instance Learning for Whole Slide Images.
Proceedings of the Eleventh International Conference on Learning Representations, 2023
Multi-Granularity Shadow Paging with NVM Write Optimization for Crash-Consistent Memory-Mapped I/O.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
ADOC: Automatically Harmonizing Dataflow Between Components in Log-Structured Key-Value Stores for Improved Performance.
Proceedings of the 21st USENIX Conference on File and Storage Technologies, 2023
ICE: Collaborating Memory and Process Management for User Experience on Resource-limited Mobile Devices.
Proceedings of the Eighteenth European Conference on Computer Systems, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Work or Sleep: Freshness-Aware Energy Scheduling for Wireless Powered Communication Networks with Interference Consideration.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
2022
ACM Trans. Embed. Comput. Syst., November, 2022
ACM Trans. Embed. Comput. Syst., September, 2022
IEEE Trans. Parallel Distributed Syst., 2022
IEEE/ACM Trans. Netw., 2022
Accelerating Monte Carlo Bayesian Prediction via Approximating Predictive Uncertainty Over the Simplex.
IEEE Trans. Neural Networks Learn. Syst., 2022
Tail Latency Optimization for LDPC-Based High-Density and Low-Cost Flash Memory Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Resolving the Reliability Issues of Open Blocks for 3-D NAND Flash: Observations and Strategies.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Throughput Maximization in Wireless Communication Systems Powered by Hybrid Energy Harvesting.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
CCF Trans. High Perform. Comput., 2022
Read latency variation aware performance optimization on high-density NAND flash based storage systems.
CCF Trans. High Perform. Comput., 2022
Proceedings of the WWW '22: The ACM Web Conference 2022, Virtual Event, Lyon, France, April 25, 2022
Accelerating General-purpose Lossless Compression via Simple and Scalable Parameterization.
Proceedings of the MM '22: The 30th ACM International Conference on Multimedia, Lisboa, Portugal, October 10, 2022
Proceedings of the 30th IEEE International Conference on Network Protocols, 2022
Proceedings of the IEEE International Conference on Multimedia and Expo, 2022
Proceedings of the 24th IEEE Int Conf on High Performance Computing & Communications; 8th Int Conf on Data Science & Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, 2022
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
Proceedings of the International Conference on Field-Programmable Technology, 2022
Proceedings of the 20th USENIX Conference on File and Storage Technologies, 2022
PipeDevice: a hardware-software co-design approach to intra-host container communication.
Proceedings of the 18th International Conference on emerging Networking EXperiments and Technologies, 2022
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022
2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
Read-Ahead Efficiency on Mobile Devices: Observation, Characterization, and Optimization.
IEEE Trans. Computers, 2021
Bayesian Nested Neural Networks for Uncertainty Calibration and Adaptive Compression.
CoRR, 2021
Proceedings of the 42nd IEEE Real-Time Systems Symposium, 2021
Keep Fresh: Real-Time Data Retrieval with Speed Adaptation in Mobile Cyber-Physical Systems.
Proceedings of the 42nd IEEE Real-Time Systems Symposium, 2021
Two-Dimensional Learning Rate Decay: Towards Accurate Federated Learning with Non-IID Data.
Proceedings of the International Joint Conference on Neural Networks, 2021
Proceedings of the HotStorage '21: 13th ACM Workshop on Hot Topics in Storage and File Systems, 2021
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021
Pattern-Guided File Compression with User-Experience Enhancement for Log-Structured File System on Mobile Devices.
Proceedings of the 19th USENIX Conference on File and Storage Technologies, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Bayesian Nested Neural Networks for Uncertainty Calibration and Adaptive Compression.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
Proceedings of the APSys '21: 12th ACM SIGOPS Asia-Pacific Workshop on Systems, 2021
2020
Process Variation Aware Read Performance Improvement for LDPC-Based nand Flash Memory.
IEEE Trans. Reliab., 2020
IEEE Trans. Parallel Distributed Syst., 2020
ACM Trans. Storage, 2020
ACM Trans. Embed. Comput. Syst., 2020
Energy-Constrained Data Freshness Optimization in Self-Powered Networked Embedded Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Boosting User Experience via Foreground-Aware Cache Management in UFS Mobile Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Pruning Deep Reinforcement Learning for Dual User Experience and Storage Lifetime Improvement on Mobile Devices.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Maximizing I/O Throughput and Minimizing Performance Variation via Reinforcement Learning Based I/O Merging for SSDs.
IEEE Trans. Computers, 2020
IEEE Trans. Computers, 2020
Leveraging partial-refresh for performance and lifetime improvement of 3D NAND flash memory in cyber-physical systems.
J. Syst. Archit., 2020
Low Overhead Online Data Flow Tracking for Intermittently Powered Non-Volatile FPGAs.
ACM J. Emerg. Technol. Comput. Syst., 2020
Proceedings of the 2020 USENIX Annual Technical Conference, 2020
Proceedings of the 41st IEEE Real-Time Systems Symposium, 2020
Proceedings of the 9th Non-Volatile Memory Systems and Applications Symposium, 2020
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020
Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, 2020
Proceedings of the 36th IEEE International Conference on Data Engineering, 2020
Proceedings of the 38th IEEE International Conference on Computer Design, 2020
Overcoming Memory Constraint for Improved Target Classification Performance on Embedded Deep Learning Systems.
Proceedings of the 22nd IEEE International Conference on High Performance Computing and Communications; 18th IEEE International Conference on Smart City; 6th IEEE International Conference on Data Science and Systems, 2020
Proceedings of the 12th USENIX Workshop on Hot Topics in Storage and File Systems, 2020
Differentiating Cache Files for Fine-grain Management to Improve Mobile Performance and Lifetime.
Proceedings of the 12th USENIX Workshop on Hot Topics in Storage and File Systems, 2020
Design Insights of Non-volatile Processors and Accelerators in Energy Harvesting Systems.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Access Characteristic Guided Partition for Read Performance Improvement on Solid State Drives.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
2019
IEEE Trans. Mob. Comput., 2019
IEEE Trans. Knowl. Data Eng., 2019
Real-Time Data Retrieval in Cyber-Physical Systems with Temporal Validity and Data Availability Constraints.
IEEE Trans. Knowl. Data Eng., 2019
Introduction to the Special Issue on Human-interaction-aware Data Analytics for Cyber-physical Systems.
ACM Trans. Cyber Phys. Syst., 2019
Checkpointing-Aware Loop Tiling for Energy Harvesting Powered Nonvolatile Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
IEEE Trans. Computers, 2019
Minimizing Retention Induced Refresh Through Exploiting Process Variation of Flash Memory.
IEEE Trans. Computers, 2019
SIGBED Rev., 2019
BRLoop: Constructing balanced retimed loop to architect STT-RAM-based hybrid cache for VLIW processors.
Microelectron. J., 2019
A Novel STT-RAM-Based Hybrid Cache for Intermittently Powered Processors in IoT Devices.
IEEE Micro, 2019
IEEE Internet Things J., 2019
Accelerating Monte Carlo Bayesian Inference via Approximating Predictive Uncertainty over Simplex.
CoRR, 2019
Checkpointing-aware Data Allocation for Energy Harvesting Powered Non-volatile Processors.
Proceedings of the 2019 IEEE Non-Volatile Memory Systems and Applications Symposium, 2019
Proceedings of the 2019 IEEE Non-Volatile Memory Systems and Applications Symposium, 2019
Optimizing Tail Latency of LDPC based Flash Memory Storage Systems Via Smart Refresh.
Proceedings of the 2019 IEEE International Conference on Networking, 2019
Parallel all the time: Plane Level Parallelism Exploration for High Performance SSDs.
Proceedings of the 35th Symposium on Mass Storage Systems and Technologies, 2019
Constructing Large, Durable and Fast SSD System via Reprogramming 3D TLC Flash Memory.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
Proceedings of the 20th ACM SIGPLAN/SIGBED International Conference on Languages, 2019
Reinforcement Learning based Background Segment Cleaning for Log-structured File System on Mobile Devices.
Proceedings of the 15th IEEE International Conference on Embedded Software and Systems, 2019
A Hardware-Accelerated Solution for Hierarchical Index-Based Merge-Join(Extended Abstract).
Proceedings of the 35th IEEE International Conference on Data Engineering, 2019
Proceedings of the 11th USENIX Workshop on Hot Topics in Storage and File Systems, 2019
Proceedings of the 11th USENIX Workshop on Hot Topics in Storage and File Systems, 2019
A Wear Leveling Aware Memory Allocator for Both Stack and Heap Management in PCM-based Main Memory Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Transmit or Discard: Optimizing Data Freshness in Networked Embedded Systems with Energy Harvesting Sources.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
PreGC: Pre-migrating valid pages to relieve performance cliff of 3D solid-state drives: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, 2019
2018
PATH: Performance-Aware Task Scheduling for Energy-Harvesting Nonvolatile Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2018
ACM Trans. Design Autom. Electr. Syst., 2018
Exploiting Chip Idleness for Minimizing Garbage Collection - Induced Chip Access Conflict on SSDs.
ACM Trans. Design Autom. Electr. Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Exploiting Parallelism for Access Conflict Minimization in Flash-Based Solid State Drives.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Real-Time Data Retrieval With Multiple Availability Intervals in CPS Under Freshness Constraints.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Access Characteristic Guided Read and Write Regulation on Flash Based Storage Systems.
IEEE Trans. Computers, 2018
Energy Optimal Task Scheduling with Normally-Off Local Memory and Sleep-Aware Shared Memory with Access Conflict.
IEEE Trans. Computers, 2018
Race to idle or not: balancing the memory sleep time with DVS for energy minimization.
J. Comb. Optim., 2018
IEEE Des. Test, 2018
EasyConvPooling: Random Pooling with Easy Convolution for Accelerating Training and Testing.
CoRR, 2018
Work-in-Progress: Joint Network and Computing Resource Scheduling for Wireless Networked Control Systems.
Proceedings of the 2018 IEEE Real-Time Systems Symposium, 2018
Proceedings of the IEEE 7th Non-Volatile Memory Systems and Applications Symposium, 2018
Proceedings of the IEEE 7th Non-Volatile Memory Systems and Applications Symposium, 2018
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018
Proceedings of the 36th IEEE International Conference on Computer Design, 2018
Proceedings of the Ninth International Green and Sustainable Computing Conference, 2018
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018
Loss is Gain: Shortening Data for Lifetime Improvement on Low-Cost ECC Enabled Consumer-Level Flash Memory.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018
Revisiting wear leveling design on compression applied 3D NAND flash memory: work-in-progress.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2018
Maximizing I/O throughput and minimizing performance variation via reinforcement learning based I/O merging for SSDs: work-in-progress.
Proceedings of the International Conference on Compilers, 2018
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
2017
Proceedings of the Handbook of Hardware/Software Codesign., 2017
CP-FPGA: Energy-Efficient Nonvolatile FPGA With Offline/Online Checkpointing Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
ACM Trans. Embed. Comput. Syst., 2017
ACM Trans. Embed. Comput. Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
Asymmetric Error Rates of Cell States Exploration for Performance Improvement on Flash Memory Based Storage Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
Thread Criticality Assisted Replication and Migration for Chip Multiprocessor Caches.
IEEE Trans. Computers, 2017
Microprocess. Microsystems, 2017
J. Syst. Archit., 2017
Improving File System Performance of Mobile Storage Systems Using a Decoupled Defragmenter.
Proceedings of the 2017 USENIX Annual Technical Conference, 2017
Proceedings of the 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2017
Proceedings of the 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2017
Proceedings of the IEEE 6th Non-Volatile Memory Systems and Applications Symposium, 2017
Improving read performance via selective Vpass reduction on high density 3D NAND flash memory.
Proceedings of the IEEE 6th Non-Volatile Memory Systems and Applications Symposium, 2017
Proceedings of the IEEE 6th Non-Volatile Memory Systems and Applications Symposium, 2017
A lightweight progress maximization scheduler for non-volatile processor under unstable energy harvesting.
Proceedings of the 18th ACM SIGPLAN/SIGBED Conference on Languages, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Exploiting Process Variation for Read Performance Improvement on LDPC Based Flash Memory Storage Systems.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Maximizing Forward Progress with Cache-aware Backup for Self-powered Non-volatile Processors.
Proceedings of the 54th Annual Design Automation Conference, 2017
Reducing LDPC Soft Sensing Latency by Lightweight Data Refresh for Flash Read Performance Improvement.
Proceedings of the 54th Annual Design Automation Conference, 2017
A PV aware data placement scheme for read performance improvement on LDPC based flash memory: work-in-progress.
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, 2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
2016
Exploiting Process Variation for Write Performance Improvement on NAND Flash Memory Storage Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2016
Efficient Data Placement for Improving Data Access Performance on Domain-Wall Memory.
IEEE Trans. Very Large Scale Integr. Syst., 2016
Wear-Leveling Aware Page Management for Non-Volatile Main Memory on Embedded Systems.
IEEE Trans. Multi Scale Comput. Syst., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
Write Mode Aware Loop Tiling for High Performance Low Power Volatile PCM in Embedded Systems.
IEEE Trans. Computers, 2016
J. Syst. Archit., 2016
Redesigning software and systems for non-volatile processors on self-powered devices.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016
Balanced loop retiming to effectively architect STT-RAM-based hybrid cache for VLIW processors.
Proceedings of the 31st Annual ACM Symposium on Applied Computing, 2016
Proceedings of the 2016 IEEE Real-Time Systems Symposium, 2016
Proceedings of the 5th Non-Volatile Memory Systems and Applications Symposium, 2016
Minimizing cell-to-cell interference by exploiting differential bit impact characteristics of scaled MLC NAND flash memories.
Proceedings of the 5th Non-Volatile Memory Systems and Applications Symposium, 2016
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016
An adaptive Non-Uniform Loop Tiling for DMA-based bulk data transfers on many-core processor.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Proceedings of the 8th USENIX Workshop on Hot Topics in Storage and File Systems, 2016
Access Characteristic Guided Read and Write Cost Regulation for Performance Improvement on Flash Memory.
Proceedings of the 14th USENIX Conference on File and Storage Technologies, 2016
Proceedings of the 2016 International Conference on Embedded Software, 2016
Exploiting process variation for retention induced refresh minimization on flash memory.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Two-step state transition minimization for lifetime and performance improvement on MLC STT-RAM.
Proceedings of the 53rd Annual Design Automation Conference, 2016
HW/SW co-design of nonvolatile IO system in energy harvesting sensor nodes for optimal data acquisition.
Proceedings of the 53rd Annual Design Automation Conference, 2016
Performance-aware task scheduling for energy harvesting nonvolatile processors considering power switching overhead.
Proceedings of the 53rd Annual Design Automation Conference, 2016
Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systems.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016
Proceedings of the 13th IEEE International Conference on Wearable and Implantable Body Sensor Networks, 2016
Peak-to-average pumping efficiency improvement for charge pump in Phase Change Memories.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016
2015
IEEE Trans. Very Large Scale Integr. Syst., 2015
Low Overhead Software Wear Leveling for Hybrid PCM + DRAM Main Memory on Embedded Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2015
ACM Trans. Embed. Comput. Syst., 2015
Wear Relief for High-Density Phase Change Memory Through Cell Morphing Considering Process Variation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Computers, 2015
Proceedings of the 2015 IEEE Real-Time Systems Symposium, 2015
Proceedings of the 21st IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2015
Proceedings of the IEEE Non-Volatile Memory System and Applications Symposium, 2015
Software assisted non-volatile register reduction for energy harvesting based cyber-physical system.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Race to idle or not: balancing the memory sleep time with DVS for energy minimization.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Deadline-aware task scheduling for solar-powered nonvolatile sensor nodes with global energy migration.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Fixing the broken time machine: consistency-aware checkpointing for energy harvesting powered non-volatile processor.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
DaTuM: dynamic tone mapping technique for OLED display power saving based on video classification.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 2015 International Conference on Compilers, 2015
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
2014
IEEE Trans. Very Large Scale Integr. Syst., 2014
IEEE Trans. Very Large Scale Integr. Syst., 2014
WCET-Aware Re-Scheduling Register Allocation for Real-Time Embedded Systems With Clustered VLIW Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2014
IEEE Trans. Parallel Distributed Syst., 2014
ACM Trans. Embed. Comput. Syst., 2014
Management and optimization for nonvolatile memory-based hybrid scratchpad memory on multicore embedded processors.
ACM Trans. Embed. Comput. Syst., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
IEEE Trans. Computers, 2014
J. Parallel Distributed Comput., 2014
Proceedings of the 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications, 2014
Exploiting parallelism in I/O scheduling for access conflict minimization in flash-based solid state drives.
Proceedings of the IEEE 30th Symposium on Mass Storage Systems and Technologies, 2014
Sleep-aware variable partitioning for energy-efficient hybrid PRAM and DRAM main memory.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014
Leveling to the last mile: Near-zero-cost bit level wear leveling for PCM-based main memory.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014
Exploit asymmetric error rates of cell states to improve the performance of flash memory storage systems.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
2013
J. Signal Process. Syst., 2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
Cooperating Virtual Memory and Write Buffer Management for Flash-Based Storage Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2013
Data Allocation Optimization for Hybrid Scratch Pad Memory With SRAM and Nonvolatile Memory.
IEEE Trans. Very Large Scale Integr. Syst., 2013
IEEE Trans. Signal Process., 2013
Low-energy volatile STT-RAM cache design using cache-coherence-enabled adaptive refresh.
ACM Trans. Design Autom. Electr. Syst., 2013
Joint variable partitioning and bank selection instruction optimization for partitioned memory architectures.
ACM Trans. Embed. Comput. Syst., 2013
Register allocation for embedded systems to simultaneously reduce energy and temperature on registers.
ACM Trans. Embed. Comput. Syst., 2013
Write activity reduction on non-volatile main memories for embedded chip multiprocessors.
ACM Trans. Embed. Comput. Syst., 2013
Online OLED dynamic voltage scaling for video streaming applications on mobile devices.
SIGBED Rev., 2013
J. Syst. Archit., 2013
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013
Proceedings of the SIGPLAN/SIGBED Conference on Languages, 2013
Profit maximization through process variation aware high level synthesis with speed binning.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Online OLED dynamic voltage scaling for video streaming applications on mobile devices.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013
Minimizing code size via page selection optimization on partitioned memory architectures.
Proceedings of the International Conference on Compilers, 2013
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
Proceedings of the 24th International Conference on Application-Specific Systems, 2013
2012
J. Signal Process. Syst., 2012
Minimizing Access Cost for Multiple Types of Memory Units in Embedded Systems Through Data Allocation and Scheduling.
IEEE Trans. Signal Process., 2012
ACM Trans. Design Autom. Electr. Syst., 2012
Theor. Comput. Sci., 2012
Real Time Syst., 2012
J. Syst. Softw., 2012
Register allocation for write activity minimization on non-volatile main memory for embedded systems.
J. Syst. Archit., 2012
Analysis and approximation for bank selection instruction minimization on partitioned memory architecture.
J. Comb. Optim., 2012
General Loop Fusion Technique with Improved Timing Performance and Minimal Code Size.
Int. J. Comput. Their Appl., 2012
IEEE Embed. Syst. Lett., 2012
Compiler-assisted preferred caching for embedded systems with STT-RAM based hybrid cache.
Proceedings of the SIGPLAN/SIGBED Conference on Languages, 2012
WCET-aware re-scheduling register allocation for real-time embedded systems with clustered VLIW architecture.
Proceedings of the SIGPLAN/SIGBED Conference on Languages, 2012
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
Optimizing Data Allocation and Memory Configuration for Non-Volatile Memory Based Hybrid SPM on Embedded CMPs.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012
Poster Abstract: Smart Phone Lift for Improving Energy Efficiency and User Comfort in Green Buildings.
Proceedings of the 2012 IEEE/ACM Third International Conference on Cyber-Physical Systems, 2012
Active compensation technique for the thin-film transistor variations and OLED aging of mobile device displays.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Mobile devices user - The subscriber and also the publisher of real-time OLED display power management plan.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Proceedings of the IEEE 10th Symposium on Embedded Systems for Real-time Multimedia, 2012
Quality-retaining OLED dynamic voltage scaling for video streaming applications on mobile devices.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012
Proceedings of the 16th Workshop on Interaction between Compilers and Computer Architectures, 2012
2011
IEEE Trans. Very Large Scale Integr. Syst., 2011
Write Activity Minimization for Nonvolatile Main Memory Via Scheduling and Recomputation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
J. Parallel Distributed Comput., 2011
J. Parallel Distributed Comput., 2011
Joint task assignment and cache partitioning with cache locking for WCET minimization on MPSoC.
J. Parallel Distributed Comput., 2011
IACR Cryptol. ePrint Arch., 2011
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011
Minimizing Schedule Length via Cooperative Register Allocation and Loop Scheduling for Embedded Systems.
Proceedings of the IEEE 10th International Conference on Trust, 2011
Cooperating Write Buffer Cache and Virtual Memory Management for Flash Memory Based Systems.
Proceedings of the 17th IEEE Real-Time and Embedded Technology and Applications Symposium, 2011
Proceedings of the Research in Applied Computation Symposium, 2011
Exploiting set-level write non-uniformity for energy-efficient NVM-based hybrid cache.
Proceedings of the 9th IEEE Symposium on Embedded Systems for Real-Time Multimedia, 2011
Proceedings of the 11th International Conference on Embedded Software, 2011
Register allocation for simultaneous reduction of energy and peak temperature on registers.
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
Optimizing Memory Cost with Loop Transformations.
Proceedings of the ISCA 26th International Conference on Computers and Their Applications, 2011
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011
2010
J. Signal Process. Syst., 2010
Iterational retiming with partitioning: Loop scheduling with complete memory latency hiding.
ACM Trans. Embed. Comput. Syst., 2010
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010
Proceedings of the IEEE 8th Symposium on Application Specific Processors, 2010
Proceedings of the Algorithms and Computation - 21st International Symposium, 2010
Proceedings of the 39th International Conference on Parallel Processing, 2010
LADPM: Latency-Aware Dual-Partition Multicast Routing for Mesh-Based Network-on-Chips.
Proceedings of the 16th IEEE International Conference on Parallel and Distributed Systems, 2010
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010
Reducing write activities on non-volatile memories in embedded CMPs via data migration and recomputation.
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
Joint variable partitioning and bank selection instruction optimization on embedded systems with multiple memory banks.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
Co-optimization of memory access and task scheduling on MPSoC architectures with multi-level memory.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
2009
Combining Coarse-Grained Software Pipelining with DVS for Scheduling Real-Time Periodic Dependent Tasks on Multi-Core Embedded Systems.
J. Signal Process. Syst., 2009
Optimizing scheduling and intercluster connection for application-specific DSP processors.
IEEE Trans. Signal Process., 2009
J. Parallel Distributed Comput., 2009
J. Embed. Comput., 2009
J. Embed. Comput., 2009
Proceedings of the 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2009
Proceedings of the 15th IEEE Real-Time and Embedded Technology and Applications Symposium, 2009
Proceedings of the IEEE 6th International Conference on Mobile Adhoc and Sensor Systems, 2009
Proceedings of the 15th IEEE International Conference on Parallel and Distributed Systems, 2009
Proceedings of the 29th IEEE International Conference on Distributed Computing Systems Workshops (ICDCS 2009 Workshops), 2009
Loop Fusion Technique with Minimal Memory Cost via Retiming.
Proceedings of the ISCA 24th International Conference on Computers and Their Applications, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
2008
Optimized Address Assignment With Array and Loop Transformations for Minimizing Schedule Length.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008
Microprocess. Microsystems, 2008
Proceedings of the Wireless Algorithms, 2008
Energy Efficient Operating Mode Assignment for Real-Time Tasks in Wireless Embedded Systems.
Proceedings of the Fourteenth IEEE Internationl Conference on Embedded and Real-Time Computing Systems and Applications, 2008
Proceedings of the Distributed Embedded Systems: Design, 2008
A Formal Specification and Verification Framework for Designing and Verifying Reliable and Dependable Software for Computerized Numerical Control (CNC) Systems.
Proceedings of the 28th IEEE International Conference on Distributed Computing Systems (ICDCS 2008), 2008
Address assignment sensitive variable partitioning and scheduling for DSPS with multiple memory banks.
Proceedings of the IEEE International Conference on Acoustics, 2008
Loop scheduling and assignment to minimize energy while hiding latency for heterogeneous multi-bank memory.
Proceedings of the FPL 2008, 2008
Effective Loop Partitioning and Scheduling under Memory and Register Dual Constraints.
Proceedings of the Design, Automation and Test in Europe, 2008
QoS for Networked Heterogeneous Real-Time Embedded Systems.
Proceedings of the ISCA 21st International Conference on Parallel and Distributed Computing and Communication Systems, 2008
2007
J. VLSI Signal Process., 2007
Voltage Assignment with Guaranteed Probability Satisfying Timing Constraint for Real-time Multiproceesor DSP.
J. VLSI Signal Process., 2007
IEEE Trans. Circuits Syst. II Express Briefs, 2007
Real-Time Loop Scheduling with Leakage Energy Minimization for Embedded VLIW DSP Processors.
Proceedings of the 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 2007
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2007
Real-Time Loop Scheduling with Energy Optimization Via DVS and ABB for Multi-core Embedded System.
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2007
Energy minimization with soft real-time and DVS for uniprocessor and multiprocessor embedded systems.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
2006
ACM Trans. Design Autom. Electr. Syst., 2006
Optimizing Address Assignment and Scheduling for DSPs With Multiple Functional Units.
IEEE Trans. Circuits Syst. II Express Briefs, 2006
Security Protection and Checking for Embedded System Integration against Buffer Overflow Attacks via Hardware/Software.
IEEE Trans. Computers, 2006
Design optimization and space minimization considering timing and code size via retiming and unfolding.
Microprocess. Microsystems, 2006
Hardware/software optimization for array & pointer boundary checking against buffer overflow attacks.
J. Parallel Distributed Comput., 2006
Int. J. Comput. Sci. Eng., 2006
Proceedings of the 12th International Conference on Parallel and Distributed Systems, 2006
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2006
Proceedings of the Embedded and Ubiquitous Computing, International Conference, 2006
Voltage Assignment and Loop Scheduling for Energy Minimization while Satisfying Timing Constraint with Guaranteed Probability.
Proceedings of the 2006 IEEE International Conference on Application-Specific Systems, 2006
Optimizing Timing and Code Size Using Maximum Direct Loop Fusion.
Proceedings of the ISCA 19th International Conference on Parallel and Distributed Computing Systems, 2006
2005
IEEE Trans. Parallel Distributed Syst., 2005
Optimal Assignment with Guaranteed Confidence Probability for Trees on Heterogeneous DSP Systems.
Proceedings of the International Conference on Parallel and Distributed Computing Systems, 2005
Efficient Array & Pointer Bound Checking Against Buffer Overflow Attacks via Hardware/Software.
Proceedings of the International Symposium on Information Technology: Coding and Computing (ITCC 2005), 2005
Proceedings of the 8th International Symposium on Parallel Architectures, 2005
Proceedings of the 11th International Conference on Parallel and Distributed Systems, 2005
Proceedings of the 2005 IEEE International Conference on Acoustics, 2005
Proceedings of the Embedded and Ubiquitous Computing, 2005
Loop Distribution and Fusion with Timing and Code Size Optimization for Embedded DSPs.
Proceedings of the Embedded and Ubiquitous Computing, 2005
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Multi-level Loop Fusion with Minimal Code Size.
Proceedings of the ISCA 18th International Conference on Parallel and Distributed Computing Systems, 2005
2004
Security Protection and Checking in Embedded System Integration Against Buffer Overflow Attacks.
Proceedings of the International Conference on Information Technology: Coding and Computing (ITCC'04), 2004
Assignment and Scheduling of Real-time DSP Applications for Heterogeneous Functional Units.
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004
Proceedings of the Embedded and Ubiquitous Computing, 2004