Chris C. N. Chu
Orcid: 0000-0001-6073-1719Affiliations:
- Iowa State University, Ames, Iowa, USA
According to our database1,
Chris C. N. Chu
authored at least 126 papers
between 1993 and 2022.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 2012, "For contributions to physical design of integrated circuits".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2022
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022
2021
On the Convergence of Randomized Bregman Coordinate Descent for Non-Lipschitz Composite Problems.
Proceedings of the IEEE International Conference on Acoustics, 2021
2020
Fast Lagrangian Relaxation-Based Multithreaded Gate Sizing Using Simple Timing Calibrations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
CoRR, 2020
2019
J. Electr. Comput. Eng., 2019
Leveraging Two Reference Functions in Block Bregman Proximal Gradient Descent for Non-convex and Non-Lipschitz Problems.
CoRR, 2019
Lagrangian Relaxation Based Gate Sizing With Clock Skew Scheduling - A Fast and Effective Approach.
Proceedings of the 2019 International Symposium on Physical Design, 2019
2018
ACM Trans. Design Autom. Electr. Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Self-Aligned Double Patterning-Aware Detailed Routing With Double Via Insertion and Via Manufacturability Consideration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Proceedings of the 2018 International Symposium on Physical Design, 2018
DID: Distributed Incremental Block Coordinate Descent for Nonnegative Matrix Factorization.
Proceedings of the Thirty-Second AAAI Conference on Artificial Intelligence, 2018
2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
Self-Aligned Double Patterning Lithography Aware Detailed Routing With Color Preassignment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
Simultaneous slack matching, gate sizing and repeater insertion for asynchronous circuits.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016
2015
POLAR: A High Performance Mixed-Size Wirelengh-Driven Placer With Density Constraints.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Flexible Packed Stencil Design With Multiple Shaping Apertures and Overlapping Shots for E-beam Lithography.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
TPL-Aware Displacement-driven Detailed Placement Refinement with Coloring Constraints.
Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD 2015, Monterey, CA, USA, March 29, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
An efficient shift invariant rasterization algorithm for all-angle mask patterns in ILT.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Detailed routing for spacer-is-metal type self-aligned double/quadruple patterning lithography.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Gate Sizing and Vth Assignment for Asynchronous Circuits Using Lagrangian Relaxation.
Proceedings of the 21st IEEE International Symposium on Asynchronous Circuits and Systems, 2015
2014
An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs.
ACM Trans. Design Autom. Electr. Syst., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Flexible packed stencil design with multiple shaping apertures for e-beam lithography.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
SDS: An Optimal Slack-Driven Block Shaping Algorithm for Fixed-Outline Floorplanning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012
Proceedings of the International Symposium on Physical Design, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
SafeChoice: A Novel Approach to Hypergraph Clustering for Wirelength-Driven Placement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Proceedings of the 2011 International Symposium on Physical Design, 2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
2010
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
Proceedings of the 2010 International Symposium on Physical Design, 2010
Proceedings of the 2010 International Symposium on Physical Design, 2010
Proceedings of the 2010 International Symposium on Physical Design, 2010
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010
2009
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
GREMA: Graph reduction based efficient mask assignment for double patterning technology.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
Proceedings of the 46th Design Automation Conference, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
2008
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008
FLUTE: Fast Lookup Table Based Rectilinear Steiner Minimal Tree Algorithm for VLSI Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Proceedings of the 45th Design Automation Conference, 2008
2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 44th Design Automation Conference, 2007
Proceedings of the 44th Design Automation Conference, 2007
FastPlace 3.0: A Fast Multilevel Quadratic Placement Algorithm with Placement Congestion Control.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007
Proceedings of the Modern Circuit Placement, Best Practices and Results, 2007
2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the 43rd Design Automation Conference, 2006
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006
2005
FastPlace: efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005
An efficient routing tree construction algorithm with buffer insertion, wire sizing, and obstacle considerations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005
Proceedings of the 2005 International Symposium on Physical Design, 2005
Proceedings of the 2005 International Symposium on Physical Design, 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005
2004
IEEE Trans. Very Large Scale Integr. Syst., 2004
IEEE Trans. Very Large Scale Integr. Syst., 2004
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
Proceedings of the 2004 International Symposium on Physical Design, 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004
2003
Twin binary sequences: a nonredundant representation for general nonslicing floorplan.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
Proceedings of the VLSI-SOC: From Systems to Chips, 2003
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003
A New and Efficient Congestion Evaluation Model in Floorplanning: Wire Density Control with Twin Binary Trees.
Proceedings of the 2003 Design, 2003
2002
A Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Twin binary sequences: a non-redundant representation for general non-slicing floorplan.
Proceedings of 2002 International Symposium on Physical Design, 2002
Proceedings of the 2002 Design, 2002
2001
ACM Trans. Design Autom. Electr. Syst., 2001
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Ann. Oper. Res., 2001
2000
Proceedings of the 2000 International Symposium on Physical Design, 2000
Proceedings of the 2000 International Symposium on Physical Design, 2000
1999
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
1998
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
Proceedings of the 1998 Design, 1998
1997
Proceedings of the 1997 International Symposium on Physical Design, 1997
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
1996
J. Parallel Distributed Comput., 1996
1993
Proceedings of the Fifth IEEE Symposium on Parallel and Distributed Processing, 1993