Baris Taskin
Orcid: 0000-0002-7631-5696Affiliations:
- Drexel University
According to our database1,
Baris Taskin
authored at least 120 papers
between 2002 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on drexel.edu
On csauthors.net:
Bibliography
2024
Proceedings of the IEEE International Symposium on Circuits and Systems, 2024
Proceedings of the IEEE International Symposium on Circuits and Systems, 2024
2022
IEEE Trans. Very Large Scale Integr. Syst., 2022
Interconnects for DNA, Quantum, In-Memory, and Optical Computing: Insights From a Panel Discussion.
IEEE Micro, 2022
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
A 0.45 pJ/bit 20 Gb/s/Wire Parallel Die-to-Die Interface with Rotary Traveling Wave Oscillators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
2021
IEEE Trans. Circuits Syst. I Regul. Pap., 2021
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021
2020
IEEE J. Emerg. Sel. Topics Circuits Syst., 2020
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020
2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
IEEE Trans. Circuits Syst. I Regul. Pap., 2019
IEEE Trans. Circuits Syst. I Regul. Pap., 2019
IEEE Trans. Circuits Syst. II Express Briefs, 2019
Distributed Digital Low-Dropout Regulators with Phase Interleaving for On-Chip Voltage Noise Mitigation.
Proceedings of the 21st ACM/IEEE International Workshop on System Level Interconnect Prediction, 2019
Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, 2019
Proceedings of the 12th International Workshop on Network on Chip Architectures, 2019
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019
2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
SynchroTrace: Synchronization-Aware Architecture-Agnostic Traces for Lightweight Multicore Simulation of CMP and HPC Workloads.
ACM Trans. Archit. Code Optim., 2018
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2018
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
Proceedings of the Ninth International Green and Sustainable Computing Conference, 2018
2017
Integr., 2017
Proceedings of the ACM/IEEE 2017 International Workshop on System Level Interconnect Prediction, 2017
Proceedings of the ACM/IEEE 2017 International Workshop on System Level Interconnect Prediction, 2017
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017
Wireless charge recovery system for implanted electroencephalography applications in mice.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Wireless Network-on-Chip analysis of propagation technique for on-chip communication.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
2015
IEEE Trans. Very Large Scale Integr. Syst., 2015
ACM Trans. Design Autom. Electr. Syst., 2015
Proceedings of the 28th International Conference on VLSI Design, 2015
Can You Trust Your Memory Trace? A Comparison of Memory Traces from Binary Instrumentation and Simulation.
Proceedings of the 28th International Conference on VLSI Design, 2015
Synchrotrace: synchronization-aware architecture-agnostic traces for light-weight multicore simulation.
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015
2014
Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, 2014
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
2013
Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, 2013
Proceedings of the 2013 IEEE International Conference on Microelectronic Systems Education, 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013
Proceedings of the Design, Automation and Test in Europe, 2013
2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012
Proceedings of the 25th International Conference on VLSI Design, 2012
A locality-aware bi-level mesh-mesh 2d-noc architecture for future thousand core CMPs.
Proceedings of the International Workshop on System Level Interconnect Prediction, 2012
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012
2011
IEEE Trans. Very Large Scale Integr. Syst., 2011
ACM Trans. Design Autom. Electr. Syst., 2011
Statistical Timing Analysis of the Clock Period Improvement through Clock Skew Scheduling.
J. Circuits Syst. Comput., 2011
Simulation based study of on-chip antennas for a reconfigurable hybrid 2D wireless network-on-chip.
Proceedings of the 2011 International Workshop on System Level Interconnect Prediction, 2011
From RTL to GDSII: An ASIC design course development using Synopsys® University Program.
Proceedings of the 2011 IEEE International Conference on Microelectronic Systems Education, 2011
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Timing slack aware incremental register placement with non-uniform grid generation for clock mesh synthesis.
Proceedings of the 2011 International Symposium on Physical Design, 2011
Reconfigurable clock polarity assignment for peak current reduction of clock-gated circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Proceedings of the IEEE 29th International Conference on Computer Design, 2011
Proceedings of the IEEE 29th International Conference on Computer Design, 2011
Steiner tree based rotary clock routing with bounded skew and capacitive load balancing.
Proceedings of the Design, Automation and Test in Europe, 2011
2010
Look-Up Table Based Low Power Rotary Traveling Wave Oscillator Design Considering the Skin Effect.
J. Low Power Electron., 2010
Analysis, Design and Simulation of Capacitive Load Balanced Rotary Oscillatory Array.
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010
Simulation based study of on-chip antennas for a reconfigurable hybrid 3D wireless NoC.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010
Simulation based study of wireless RF interconnects for practical CMOs implementation.
Proceedings of the International Workshop on System Level Interconnect Prediction Workshop, 2010
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010
Skew analysis and bounded skew constraint methodology for rotary clocking technology.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010
Skew-aware capacitive load balancing for low-power zero clock skew rotary oscillatory array.
Proceedings of the 28th International Conference on Computer Design, 2010
Electromagnetic interaction of on-chip antennas and CMOS metal layers for wireless IC interconnects.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010
2009
ACM Trans. Design Autom. Electr. Syst., 2009
ACM J. Emerg. Technol. Comput. Syst., 2009
J. Circuits Syst. Comput., 2009
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009
2008
IEEE Trans. Very Large Scale Integr. Syst., 2008
Proceedings of the 26th International Conference on Computer Design, 2008
2007
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 2007
2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
2004
Linearization of the timing analysis and optimization of level-sensitive digital synchronous circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2004
Time borrowing and clock skew scheduling effects on multi-phase level-sensitive circuits.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004
2002
Performance optimization of single-phase level-sensitive circuits using time borrowing and non-zero clock skew.
Proceedings of the 8th ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2002