Babak Falsafi
Orcid: 0000-0001-5916-8068Affiliations:
- EPFL, EcoCloud research center, Lausanne, Switzerland
- Carnegie Mellon University, Pittsburgh, PA, USA
- University of Wisconsin-Madison, Madison, WI, USA (PhD)
According to our database1,
Babak Falsafi
authored at least 161 papers
between 1993 and 2024.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2015, "For contributions to multiprocessor and memory architecture design and evaluation.".
IEEE Fellow
IEEE Fellow 2012, "For contributions to multiprocessor architecture and memory systems".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on linkedin.com
-
on orcid.org
-
on id.loc.gov
-
on d-nb.info
-
on ece.cmu.edu
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
CoRR, 2024
2023
J. Comput. Sci. Technol., July, 2023
Proceedings of the 44th IEEE Symposium on Security and Privacy, 2023
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
2022
Accuracy Boosters: Epoch-Driven Mixed-Mantissa Block Floating-Point for DNN Training.
CoRR, 2022
2021
ACM Trans. Archit. Code Optim., 2021
ACM Comput. Surv., 2021
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
2020
Enabling High-Capacity, Latency-Tolerant, and Highly-Concurrent GPU Register Files via Software/Hardware Cooperation.
CoRR, 2020
CoRR, 2020
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
Proceedings of the ICS '20: 2020 International Conference on Supercomputing, 2020
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020
2019
ACM Trans. Comput. Syst., 2019
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
Proceedings of the 2019 ACM SIGSAC Conference on Computer and Communications Security, 2019
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019
2018
Mitigating Load Imbalance in Distributed Data Serving with Rack-Scale Memory Pooling.
ACM Trans. Comput. Syst., 2018
ACM SIGOPS Oper. Syst. Rev., 2018
Proceedings of the Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, 2018
Proceedings of the International Symposium on Memory Systems, 2018
LTRF: Enabling High-Capacity Register Files for GPUs via Hardware/Software Cooperative Register Prefetching.
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018
2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
Proceedings of the Algorithmic Aspects of Cloud Computing - Third International Workshop, 2017
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017
2016
Proceedings of the 2016 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Science, 2016
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the Seventh ACM Symposium on Cloud Computing, 2016
2015
Proceedings of the 48th International Symposium on Microarchitecture, 2015
Proceedings of the 23rd IEEE International Symposium on Quality of Service, 2015
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
2014
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01743-8, 2014
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014
2013
Proceedings of the IEEE 19th Pacific Rim International Symposium on Dependable Computing, 2013
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013
Die-stacked DRAM caches for servers: hit ratio, latency, or bandwidth? have it all with footprint cache.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
2012
Quantifying the Mismatch between Emerging Scale-Out Applications and Modern Processors.
ACM Trans. Comput. Syst., 2012
CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers.
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012
2011
Proceedings of the 44rd Annual IEEE/ACM International Symposium on Microarchitecture, 2011
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011
2010
IEEE Micro, 2010
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010
ParaLog: enabling and accelerating online parallel monitoring of multithreaded applications.
Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, 2010
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010
2009
ACM Trans. Reconfigurable Technol. Syst., 2009
Proceedings of the 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing, 2009
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009
Proceedings of the EDBT 2009, 2009
2008
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008
Proceedings of the 4th International Symposium on Workload Characterization (IISWC 2008), 2008
A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs.
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008
Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, 2008
2007
Proceedings of the 33rd International Conference on Very Large Data Bases, 2007
Proceedings of the SPAA 2007: Proceedings of the 19th Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2007
Proceedings of the 13th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2007), 2007
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 2007
Proceedings of the 2007 IEEE International Symposium on Performance Analysis of Systems and Software, 2007
Proceedings of the 34th International Symposium on Computer Architecture (ISCA 2007), 2007
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007
Proceedings of the Third Biennial Conference on Innovative Data Systems Research, 2007
2006
ACM Trans. Program. Lang. Syst., 2006
ACM Trans. Model. Comput. Simul., 2006
IEEE Micro, 2006
Proceedings of the SPAA 2006: Proceedings of the 18th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Cambridge, Massachusetts, USA, July 30, 2006
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006
Proceedings of the 2006 IEEE International Symposium on Performance Analysis of Systems and Software, 2006
Proceedings of the 33rd International Symposium on Computer Architecture (ISCA 2006), 2006
Proceedings of the 1st Workshop on Architectural and System Support for Improving Software Dependability, 2006
2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
Evaluating scheduling policies for fine-grain communication protocols on a cluster of SMPs.
J. Parallel Distributed Comput., 2005
Proceedings of the International Conference on Measurements and Modeling of Computer Systems, 2005
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Proceedings of the Workshop on Data Management on New Hardware, 2005
Proceedings of the Workshop on Data Management on New Hardware, 2005
DBmbench: fast and accurate database workload representation on modern microarchitecture.
Proceedings of the 2005 conference of the Centre for Advanced Studies on Collaborative Research, 2005
Proceedings of the 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 2005
2004
SimFlex: a fast, accurate, flexible full-system simulation framework for performance evaluation of server architecture.
SIGMETRICS Perform. Evaluation Rev., 2004
IEEE Micro, 2004
Proceedings of the 3rd Workshop on Memory Performance Issues, 2004
Efficient Resource Sharing in Concurrent Error Detecting Superscalar Microarchitectures.
Proceedings of the 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 2004
Proceedings of the 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 2004
2003
J. Instr. Level Parallelism, 2003
Proceedings of the 36th Annual International Symposium on Microarchitecture, 2003
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003
2002
Optimizing Traffic in DSM Clusters: Fine-Grain Memory Caching versus Page Migration/Replication.
Theory Comput. Syst., 2002
Exploiting Choice in Resizable Cache Design to Optimize Deep-Submicron Processor Energy-Delay.
Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), 2002
2001
IEEE Trans. Very Large Scale Integr. Syst., 2001
Proceedings of the 2001 ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPOPP'01), 2001
Proceedings of the 34th Annual International Symposium on Microarchitecture, 2001
Reducing set-associative cache energy via way-prediction and selective direct-mapping.
Proceedings of the 34th Annual International Symposium on Microarchitecture, 2001
Proceedings of the 28th Annual International Symposium on Computer Architecture, 2001
Multiplex: unifying conventional and speculative thread-level parallelism on a chip multiprocessor.
Proceedings of the 15th international conference on Supercomputing, 2001
An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches.
Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), 2001
Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), 2001
2000
IEEE Concurr., 2000
Comparing the effectiveness of fine-grain memory caching against page migration/replication in reducing traffic in DSM clusters.
Proceedings of the Twelfth annual ACM Symposium on Parallel Algorithms and Architectures, 2000
Gated-V<sub>dd</sub>: a circuit technique to reduce leakage in deep-submicron cache memories
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000
Proceedings of the 27th International Symposium on Computer Architecture (ISCA 2000), 2000
Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), 2000
1999
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999
Parallel Dispatch Queue: A Queue-Based Programming Abstraction to Parallelize Fine-Grain Communication Protocols.
Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, 1999
1998
Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, 1998
1997
ACM Trans. Model. Comput. Simul., 1997
Proceedings of the 24th International Symposium on Computer Architecture, 1997
Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), 1997
1996
Proceedings of the 23rd Annual International Symposium on Computer Architecture, 1996
1994
Proceedings of the Proceedings Supercomputing '94, 1994
Proceedings of the Eighth Workshop on Parallel and Distributed Simulation, 1994
Proceedings of the ASPLOS-VI Proceedings, 1994
1993
Proceedings of the USENIX Microkernels and Other Kernel Architectures Symposium, 1993
Proceedings of the 20th Annual International Symposium on Computer Architecture, 1993