Azadeh Davoodi
Orcid: 0000-0001-5213-2556Affiliations:
- University of Wisconsin, USA
According to our database1,
Azadeh Davoodi
authored at least 95 papers
between 2003 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
CoRR, 2024
Proceedings of the IEEE International Conference on Smart Computing, 2024
Proceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD, 2024
2023
ACM Trans. Reconfigurable Technol. Syst., March, 2023
Integr., March, 2023
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023
2022
ACM Trans. Embed. Comput. Syst., September, 2022
$\text{Edge}^{n}$ AI: Distributed Inference with Local Edge Devices and Minimal Latency.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022
2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021
Sampling-Based Approximate Logic Synthesis: An Explainable Machine Learning Approach.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Proceedings of the 2020 International Conference on Omni-layer Intelligent Systems, 2020
2019
IEEE Trans. Very Large Scale Integr. Syst., 2019
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019
2018
Exploring Energy and Accuracy Tradeoff in Structure Simplification of Trained Deep Neural Networks.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018
CoRR, 2018
CoRR, 2018
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
2017
Are Proximity Attacks a Threat to the Security of Split Manufacturing of Integrated Circuits?
IEEE Trans. Very Large Scale Integr. Syst., 2017
Dynamic Planning of Local Congestion From Varying-Size Vias for Global Routing Layer Assignment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
2016
Preface to Special Section on New Physical Design Techniques for the Next Generation of Integration Technology.
ACM Trans. Design Autom. Electr. Syst., 2016
Are proximity attacks a threat to the security of split manufacturing of integrated circuits?
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
2015
Guest Editorial: Special Section on Physical Design Techniques for Advanced Technology Nodes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
2014
A Hybrid Approach for Fast and Accurate Trace Signal Selection for Post-Silicon Debug.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
ACM Trans. Design Autom. Electr. Syst., 2013
IEEE Des. Test, 2013
Proceedings of the International Symposium on Physical Design, 2013
2012
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012
Custom on-chip sensors for post-silicon failing path isolation in the presence of process variations.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
2010
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010
Trace signal selection to enhance timing and logic visibility in post-silicon validation.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010
Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations.
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 47th Design Automation Conference, 2010
Runtime temperature-based power estimation for optimizing throughput of thermal-constrained multi-core processors.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
Proceedings of the 27th IEEE VLSI Test Symposium, 2009
Statistical static timing analysis considering leakage variability in power gated designs.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009
Proceedings of the 46th Design Automation Conference, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
2008
IEEE Trans. Very Large Scale Integr. Syst., 2008
Robust Estimation of Timing Yield With Partial Statistical Information on Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
A Parallel and Randomized Algorithm for Large-Scale Discrete Dual-Vt Assignment and Continuous Gate Sizing.
J. Low Power Electron., 2008
Fast and accurate statistical static timing analysis with skewed process parameter variation.
IET Circuits Devices Syst., 2008
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008
SynECO: Incremental technology mapping with constrained placement and fast detail routing for predictable timing improvement.
Proceedings of the 26th International Conference on Computer Design, 2008
Adjustment-based modeling for statistical static timing analysis with high dimension of variability.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
2007
Variability Driven Joint Leakage-Delay Optimization Through Gate Sizing with Provabale Convergence.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Comparison of Dual-Vt Configurations of SRAM Cell Considering Process-Induced Vt Variations.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007
Proceedings of the 25th International Conference on Computer Design, 2007
2006
ACM Trans. Design Autom. Electr. Syst., 2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
EURASIP J. Embed. Syst., 2006
Proceedings of the 2006 International Symposium on Physical Design, 2006
2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
Power-driven simultaneous resource binding and floorplanning: a probabilistic approach.
IEEE Trans. Very Large Scale Integr. Syst., 2005
ACM Trans. Design Autom. Electr. Syst., 2005
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
IEEE Trans. Very Large Scale Integr. Syst., 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004
2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003