Armin Tajalli
Orcid: 0000-0002-0222-3561
According to our database1,
Armin Tajalli
authored at least 90 papers
between 2000 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
A Digital Background Calibration Circuit for Coarse-Fine Timing Mismatch in VCO-Based ADCs.
IEEE Trans. Circuits Syst. II Express Briefs, August, 2024
IEEE Trans. Very Large Scale Integr. Syst., July, 2024
Proceedings of the 67th IEEE International Midwest Symposium on Circuits and Systems, 2024
Speed-Noise-Power Trade-Offs in Design of Scaled FET Circuits Using $\mathrm{C}/\mathrm{I}_{\mathrm{D}}$ Methodology.
Proceedings of the 15th IEEE Latin America Symposium on Circuits and Systems, 2024
Hadamard Multi-Tone Signaling in Multi-Wire Pulse Amplitude Modulation for Next Generation Wireline Communication.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2024
A 29 GHz Sub-Sampling PLL with 25.6-fs-rms RJ based on a Discrete-Time Integrating PD in 45nm RF SOI.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2024
2023
IEEE Trans. Circuits Syst. II Express Briefs, July, 2023
Extending C/ID Methodology for Optimal Implementation of Single-Stage Discrete-Time Amplifiers.
Proceedings of the 19th International Conference on Synthesis, 2023
Proceedings of the 19th International Conference on Synthesis, 2023
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023
Computational Efficiency of Circuit Design and Optimization Algorithms: A Comparative Study.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023
A 0.12-V 200-Hz-BW 10-Bit ADC Using Quad-Channel VCO and Interpolation Linearization.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023
2022
IEEE Trans. Very Large Scale Integr. Syst., 2022
Proceedings of the 65th IEEE International Midwest Symposium on Circuits and Systems, 2022
2021
A 32-Gb/s PAM-4 SST Transmitter With Four-Tap FFE Using High-Impedance Driver in 28-nm FDSOI.
IEEE Trans. Very Large Scale Integr. Syst., 2021
Power-Speed Trade-Offs in Design of Scaled FET Circuits Using C/I<sub>DS</sub> Methodology.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021
2020
IEEE J. Solid State Circuits, 2020
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020
Proceedings of the VLSI-SoC: Design Trends, 2020
Proceedings of the 28th IFIP/IEEE International Conference on Very Large Scale Integration, 2020
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020
Proceedings of the 2020 IEEE Custom Integrated Circuits Conference, 2020
2019
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019
Proceedings of the 15th Conference on Ph.D. Research in Microelectronics and Electronics, 2019
Proceedings of the 17th IEEE International New Circuits and Systems Conference, 2019
Proceedings of the 17th IEEE International New Circuits and Systems Conference, 2019
Spectrum-Efficient Communication Over Copper Using Hybrid Amplitude and Spatial Signaling.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019
A Review on Quantum Computing: From Qubits to Front-end Electronics and Cryogenic MOSFET Physics.
Proceedings of the 26th International Conference on Mixed Design of Integrated Circuits and Systems, 2019
2018
Proceedings of the 2018 IEEE Nordic Circuits and Systems Conference, 2018
2017
A Time-Division Multiplexing Signaling Scheme for Inter-Symbol/Channel Interference Reduction in Low-Power Multi-Drop Memory Links.
IEEE Trans. Circuits Syst. II Express Briefs, 2017
2016
A Digital Spectrum Shaping Signaling Serial-Data Transceiver With Crosstalk and ISI Reduction Property in Multidrop Interfaces.
IEEE Trans. Circuits Syst. II Express Briefs, 2016
A 4×9 Gb/s 1pJ/b Hybrid NRZ/Multi-Tone I/O With Crosstalk and ISI Reduction for Dense Interconnects.
IEEE J. Solid State Circuits, 2016
A wideband MDLL with jitter reduction scheme for forwarded clock serial links in 40 nm CMOS.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016
10.1 A pin-efficient 20.83Gb/s/wire 0.94pJ/bit forwarded clock CNRZ-5-coded SerDes up to 12mm for MCM packages in 28nm CMOS.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016
A fully-digital spectrum shaping signaling for serial-data transceiver with crosstalk and ISI reduction property in multi-drop memory interfaces.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
2015
Analysis and Characterization of Variability in Subthreshold Source-Coupled Logic Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015
IEEE J. Solid State Circuits, 2015
A subthreshold current-sensing ΣΔ modulator for low-voltage and low-power sensor interfaces.
Int. J. Circuit Theory Appl., 2015
A 4×9 Gb/s 1 pJ/b NRZ/multi-tone serial-data transceiver with crosstalk reduction architecture for multi-drop memory interfaces in 40nm CMOS.
Proceedings of the Symposium on VLSI Circuits, 2015
10.3 A 7.5mW 7.5Gb/s mixed NRZ/multi-tone serial-data transceiver for multi-drop memory interfaces in 40nm CMOS.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015
Jitter analysis and measurement in subthreshold source-coupled differential ring oscillators.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
2014
A 5.43-μW 0.8-V subthreshold current-sensing ΣΔ modulator for low-noise sensor interfaces.
Proceedings of the 2014 NORCHIP, Tampere, Finland, October 27-28, 2014, 2014
2012
IEEE Trans. Circuits Syst. II Express Briefs, 2012
2011
Low-Power and Widely Tunable Linearized Biquadratic Low-Pass Transconductor-C Filter.
IEEE Trans. Circuits Syst. II Express Briefs, 2011
IEEE Trans. Circuits Syst. I Regul. Pap., 2011
Proceedings of the 20th European Conference on Circuit Theory and Design, 2011
2010
Nanowatt Range Folding-Interpolating Analog-to-Digital Converter Using Subthreshold Source-Coupled Circuits.
J. Low Power Electron., 2010
A 9 pW/Hz adjustable clock generator with 3-decade tuning range for dynamic power management in subthreshold SCL systems.
Proceedings of the 36th European Solid-State Circuits Conference, 2010
Subthreshold current-mode oscillator-based quantizer with 3-decade scalable sampling rate and pico-Ampere range resolution.
Proceedings of the 36th European Solid-State Circuits Conference, 2010
Ultra-low power mixed-signal design platform using subthreshold source-coupled circuits.
Proceedings of the Design, Automation and Test in Europe, 2010
2009
IEEE Trans. Circuits Syst. II Express Briefs, 2009
IEEE Trans. Circuits Syst. II Express Briefs, 2009
Ultra-low power 32-bit pipelined adder using subthreshold source-coupled logic with 5 fJ/stage PDP.
Microelectron. J., 2009
IEEE J. Solid State Circuits, 2009
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009
Proceedings of the 35th European Solid-State Circuits Conference, 2009
Proceedings of the IEEE Custom Integrated Circuits Conference, 2009
2008
IEEE J. Solid State Circuits, 2008
Low-power analogue phase interpolator based clock and data recovery with high-frequency tolerance.
IET Circuits Devices Syst., 2008
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2008
Improving the power-delay product in SCL circuits using source follower output stage.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008
2007
A Power-Efficient Clock and Data Recovery Circuit in 0.18 µm CMOS Technology for Multi-Channel Short-Haul Optical Data Communication.
IEEE J. Solid State Circuits, 2007
J. Low Power Electron., 2007
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007
Ultra low power subthreshold MOS current mode logic circuits using a novel load device concept.
Proceedings of the 33rd European Solid-State Circuits Conference, 2007
2006
Analysis and modeling of jitter and frequency tolerance in gated oscillator based CDRs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
A low-power CMOS Gm-C filter for wireless receiver applications with on-chip automatic tuning system.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
Proceedings of the 13th IEEE International Conference on Electronics, 2006
2005
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Analysis of jitter peaking and jitter accumulation in re-circulating delay-locked loops.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
A multichannel 3.5mW/Gbps/channel gated oscillator based CDR in a 0.18μm digital CMOS technology.
Proceedings of the 31st European Solid-State Circuits Conference, 2005
Top-Down Design of a Low-Power Multi-Channel 2.5-Gbit/s/Channel Gated Oscillator Clock-Recovery Circuit.
Proceedings of the 2005 Design, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004
2003
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003
Design considerations for a 1.5-V, 10.7-MHz bandpass gm-C filter in a 0.6µm standard CMOS technology.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003
A compact biquadratic g<sub>m</sub>-C filter structure for low-voltage and high frequency applications.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003
2002
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002
2000
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000