Anshul Kumar
Orcid: 0000-0002-3871-5402
According to our database1,
Anshul Kumar
authored at least 99 papers
between 1980 and 2023.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2023
A survey on emotion-cause extraction in psychological text using deep learning methods.
Prog. Artif. Intell., December, 2023
Cost Optimization of an Unreliable server queue with two stage service process under hybrid vacation policy.
Math. Comput. Simul., 2023
2022
Game Theory-Based Parameter Tuning for Energy-Efficient Path Planning on Modern UAVs.
ACM Trans. Cyber Phys. Syst., 2022
ACM Trans. Archit. Code Optim., 2022
Emotion detection in psychological texts by fine-tuning BERT using emotion-cause pair extraction.
Int. J. Speech Technol., 2022
Int. J. Math. Oper. Res., 2022
IEEE Consumer Electron. Mag., 2022
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision, 2022
SACC: Split and Combine Approach to Reduce the Off-chip Memory Accesses of LSTM Accelerators.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
2021
The application of predictive analytics to identify at-risk students in health professions education.
CoRR, 2021
Proceedings of the 34th International Conference on VLSI Design and 20th International Conference on Embedded Systems, 2021
2020
VisSched: An Auction-Based Scheduler for Vision Workloads on Heterogeneous Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2020
2019
Proceedings of the 2019 Spring Simulation Conference, 2019
2018
Proceedings of the Architecture of Computing Systems - ARCS 2018, 2018
2017
Proceedings of the Architecture of Computing Systems - ARCS 2017, 2017
2016
Impact of crosstalk and process variation on capture power reduction for at-speed test.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016
2014
ACM Trans. Archit. Code Optim., 2014
2013
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013
2012
Des. Autom. Embed. Syst., 2012
2011
Proceedings of the 2011 International Conference on Indoor Positioning and Indoor Navigation, 2011
Proceedings of the 2011 Forum on Specification & Design Languages, 2011
Proceedings of the Modelling Foundations and Applications - 7th European Conference, 2011
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
2010
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010
FastFwd: an efficient hardware acceleration technique for trace-driven network-on-chip simulation.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010
A high-level synthesis flow for custom instruction set extensions for application-specific processors.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
2009
Proceedings of the Distributed Computing and Networking, 10th International Conference, 2009
2008
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008
2007
Impact of intercluster communication mechanisms on ILP in clustered VLIW architectures.
ACM Trans. Design Autom. Electr. Syst., 2007
Int. J. Parallel Program., 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007
Recurring Pattern Identification and its Application to Instruction Set Extension.
Proceedings of the 2007 International Conference on Computer Design, 2007
2006
Proceedings of the 17th IEEE International Workshop on Rapid System Prototyping (RSP 2006), 2006
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
2004
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Automatically Customising VLIW Architectures with Coarse Grained Application-Specific Functional Units.
Proceedings of the Software and Compilers for Embedded Systems, 8th International Workshop, 2004
Automatic synthesis of system on chip multiprocessor architectures for process networks.
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004
2003
Des. Autom. Embed. Syst., 2003
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
Design of a 2D DCT/IDCT application specific VLIW processor supporting scaled and sub-sampled blocks.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
Proceedings of the 2003 Euromicro Symposium on Digital Systems Design (DSD 2003), 2003
2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Proceedings of the 13th IEEE International Workshop on Rapid System Prototyping (RSP 2002), 2002
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002
A Trimaran Based Framework for Exploring the Design Space of VLIW ASIPs with Coarse Grain Functional Units.
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002
Proceedings of the International Conference on Compilers, 2002
2001
Integrating Communication Cost Estimation in Embedded Systems Design : A PCI Case Study.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001
2000
IEEE Trans. Very Large Scale Integr. Syst., 2000
J. Syst. Archit., 2000
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000
Proceedings of the Field-Programmable Logic and Applications, 2000
1999
J. Electron. Test., 1999
1998
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
J. Electron. Test., 1998
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Speeding Up Program Execution Using Reconfigurable Hardware and a Hardware Function Library.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Proceedings of the 24th EUROMICRO '98 Conference, 1998
Scheduling of Outputs in Grammar-based Hardware Synthesis of Data Communication Protocols.
Proceedings of the 1998 Design, 1998
1997
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997
1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the 9th International Symposium on System Synthesis, 1996
1995
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995
Heuristic search based approach to scheduling, allocation and binding in Data Path Synthesis.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995
Proceedings of the Sixth IEEE International Workshop on Rapid System Prototyping (RSP '95), 1995
Proceedings of the Field-Programmable Logic and Applications, 5th International Workshop, 1995
1994
Proceedings of the Seventh International Conference on VLSI Design, 1994
Proceedings of the Field-Programmable Logic, 1994
1993
Proceedings of the Sixth International Conference on VLSI Design, 1993
Proceedings of the Sixth International Conference on VLSI Design, 1993
1992
Proceedings of the Fifth International Conference on VLSI Design, 1992
Proceedings of the Fifth International Conference on VLSI Design, 1992
1989
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989
1986
1985
Proceedings of the 22nd ACM/IEEE conference on Design automation, 1985
1984
Proceedings of the IEEE International Conference on Acoustics, 1984
1983
1980
Proceedings of the 7th Annual Symposium on Computer Architecture, 1980