Alberto Macii
Orcid: 0000-0002-8869-5710
According to our database1,
Alberto Macii
authored at least 130 papers
between 1998 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
A Simulation Framework for Urban Electric Mobility Based on Limited Widespread Data and Spatial Information.
IEEE Trans. Intell. Transp. Syst., December, 2024
Effectiveness of neural networks and transfer learning to forecast photovoltaic power production.
Appl. Soft Comput., December, 2024
Expert Syst. Appl., March, 2024
2023
Environ. Model. Softw., February, 2023
2022
IEEE Trans. Emerg. Top. Comput., 2022
A hierarchical and modular agent-oriented framework for power systems co-simulations.
Energy Inform., 2022
A comparison study of co-simulation frameworks for multi-energy systems: the scalability problem.
Energy Inform., 2022
Solar radiation forecasting with deep learning techniques integrating geostationary satellite images.
Eng. Appl. Artif. Intell., 2022
Proceedings of the 46th IEEE Annual Computers, Software, and Applications Conferenc, 2022
2021
IEEE Trans. Emerg. Top. Comput., 2021
Optimizing Quality Inspection and Control in Powder Bed Metal Additive Manufacturing: Challenges and Research Directions.
Proc. IEEE, 2021
Proceedings of the IEEE 45th Annual Computers, Software, and Applications Conference, 2021
2020
Proceedings of the ICGDA 2020: 3rd International Conference on Geoinformatics and Data Analysis, 2020
2019
Battery-Aware Operation Range Estimation for Terrestrial and Aerial Electric Vehicles.
IEEE Trans. Veh. Technol., 2019
Proceedings of the Workshops of the EDBT/ICDT 2019 Joint Conference, 2019
Proceedings of the Advanced Information Systems Engineering Workshops, 2019
Proceedings of the 2019 IEEE International Congress on Big Data, 2019
PREMISES, a Scalable Data-Driven Service to Predict Alarms in Slowly-Degrading Multi-Cycle Industrial Processes.
Proceedings of the 2019 IEEE International Congress on Big Data, 2019
2018
IEEE Trans. Emerg. Top. Comput., 2018
IEEE Des. Test, 2018
Proceedings of the IEEE International Conference on Parallel & Distributed Processing with Applications, 2018
Proceedings of the International Symposium on Low Power Electronics and Design, 2018
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
Proceedings of the IEEE International Conference on Industrial Technology, 2018
2017
J. Low Power Electron., 2017
2016
Proceedings of the 2nd IEEE International Forum on Research and Technologies for Society and Industry Leveraging a better tomorrow, 2016
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016
Proceedings of the IECON 2016, 2016
2015
Proceedings of the VLSI-SoC: Design for Reliability, Security, and Low Power, 2015
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015
An aging-aware battery charge scheme for mobile devices exploiting plug-in time patterns.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
2014
Microelectron. J., 2014
A compact macromodel for the charge phase of a battery with typical charging protocol.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014
2013
Layout-Driven Post-Placement Techniques for Temperature Reduction and Thermal Gradient Minimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Modeling and characterization of thermally induced skew on clock distribution networks of nanometric ICs.
Microelectron. J., 2013
A framework with temperature-aware accuracy levels for battery modeling from datasheets.
Proceedings of the 2013 23rd International Workshop on Power and Timing Modeling, 2013
An automated framework for generating variable-accuracy battery models from datasheet information.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013
2012
IEEE Trans. Circuits Syst. I Regul. Pap., 2012
IET Circuits Devices Syst., 2012
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2012
Investigating the effects of Inverted Temperature Dependence (ITD) on clock distribution networks.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
2011
Row-Based Power-Gating: A Novel Sleep Transistor Insertion Methodology for Leakage Power Optimization in Nanometer CMOS Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2011
IEEE Trans. Very Large Scale Integr. Syst., 2011
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011
Moving to Green ICT: From stand-alone power-aware IC design to an integrated approach to energy efficient design for heterogeneous electronic systems.
Proceedings of the Design, Automation and Test in Europe, 2011
2010
IEEE Trans. Circuits Syst. I Regul. Pap., 2010
A Refinement Methodology for Clock Gating Optimization at Layout Level in Digital Circuits.
J. Low Power Electron., 2010
Proceedings of the 18th IEEE/IFIP VLSI-SoC 2010, 2010
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010
2009
Design of a Flexible Reactivation Cell for Safe Power-Mode Transition in Power-Gated Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009
Exploiting Temporal Discharge Current Information to Improve the Efficiency of Clustered Power-Gating.
J. Low Power Electron., 2009
Enhanced switching activity balancing encoding schemes for uniform temperature distribution in on-chip buses.
J. Embed. Comput., 2009
Reducing Timing Overhead in Simultaneously Clock-Gated and Power-Gated Designs by Placement-Aware Clustering.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009
Proceedings of the Design, Automation and Test in Europe, 2009
2008
IEEE Trans. Very Large Scale Integr. Syst., 2008
J. Low Power Electron., 2008
Implementation of a thermal management unit for canceling temperature-dependent clock skew variations.
Integr., 2008
Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2008
Multiple power-gating domain (multi-VGND) architecture for improved leakage power reduction.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008
On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008
Integrating Clock Gating and Power Gating for Combined Dynamic and Leakage Power Optimization in Digital CMOS Circuits.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
2007
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007
Design Exploration of a Thermal Management Unit for Dynamic Control of Temperature-Induced Clock Skew.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007
Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007
Interactive presentation: Efficient computation of discharge current upper bounds for clustered sleep transistor sizing.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
2006
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2006
Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
2005
Design and Implementation of a Memory Generator for Low-Energy Application-Specific Block-Enabled SRAMs.
Proceedings of the Integrated Circuit and System Design, 2005
Exploring the impact of architectural parameters on energy efficiency of application-specific block-enabled SRAMs.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005
2004
Memory energy minimization by data compression: algorithms, architectures and implementation.
IEEE Trans. Very Large Scale Integr. Syst., 2004
Post-layout leakage power minimization based on distributed sleep transistor insertion.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004
Block-Enabled Memory Macros: Design Space Exploration and Application-Specific Tuning.
Proceedings of the 2004 Design, 2004
Proceedings of the Ultra Low-Power Electronics and Design, 2004
2003
IEEE Trans. Very Large Scale Integr. Syst., 2003
Energy-aware design of embedded memories: A survey of technologies, architectures, and optimization techniques.
ACM Trans. Embed. Comput. Syst., 2003
IEEE Trans. Computers, 2003
Proceedings of the Integrated Circuit and System Design, 2003
Hardw are Implementation of Data Compression Algorithms for Memory Energy Optimization.
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Increasing the locality of memory access patterns by low-overhead hardware address relocation.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 40th Design Automation Conference, 2003
2002
Minimizing memory access energy in embedded systems by selective instruction compression.
IEEE Trans. Very Large Scale Integr. Syst., 2002
IEEE Trans. Very Large Scale Integr. Syst., 2002
Proceedings of the Integrated Circuit Design. Power and Timing Modeling, 2002
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002
An adaptive data compression scheme for memory traffic minimization in processor-based systems.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002
Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, 2002
Hardware-Assisted Data Compression for Energy Minimization in Systems with Embedded Processors.
Proceedings of the 2002 Design, 2002
Memory design techniques for low energy embedded systems.
Kluwer, ISBN: 978-0-7923-7690-3, 2002
2001
IEEE Trans. Very Large Scale Integr. Syst., 2001
IEEE Trans. Very Large Scale Integr. Syst., 2001
Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001
Proceedings of the Conference on Design, Automation and Test in Europe, 2001
From Architecture to Layout: Partitioned Memory Synthesis for Embedded Systems-on-Chip.
Proceedings of the 38th Design Automation Conference, 2001
2000
IEEE Trans. Very Large Scale Integr. Syst., 2000
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000
Increasing Energy Efficiency of Embedded Systems by Application-Specific Memory Hierarchy Generation.
IEEE Des. Test Comput., 2000
Proceedings of the 13th International Symposium on System Synthesis, 2000
Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000
Proceedings of the 10th ACM Great Lakes Symposium on VLSI 2000, 2000
Proceedings of the 2000 Design, 2000
Synthesis of application-specific memories for power optimization in embedded systems.
Proceedings of the 37th Conference on Design Automation, 2000
1999
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999
Low-power implementation of a residue-to-weighted conversion unit for a 5-moduli RNS.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999
Proceedings of the 25th EUROMICRO '99 Conference, 1999
Synthesis of Low-Overhead Interfaces for Power-Efficient Communication over Wide Buses.
Proceedings of the 36th Conference on Design Automation, 1999
1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998