Abhishek Bhattacharjee
Orcid: 0000-0003-2742-2679Affiliations:
- Yale University, Computer Systems Lab, New Haven, CT, USA
- Rutgers University, Department of Computer Science, Piscataway, NJ, USA
- Princeton University, NJ, USA
According to our database1,
Abhishek Bhattacharjee
authored at least 78 papers
between 2008 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on cs.yale.edu
On csauthors.net:
Bibliography
2024
Distributed Brain-Computer Interfacing With a Networked Multiaccelerator Architecture.
IEEE Micro, 2024
CoRR, 2024
2023
Design and Lifetime Estimation of Low-Power 6-Input Look-Up Table Used in Modern FPGA.
J. Circuits Syst. Comput., May, 2023
IEEE Micro, 2023
CoRR, 2023
A Multi-Site Accelerator-Rich Processing Fabric for Scalable Brain-Computer Interfacing.
CoRR, 2023
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023
SCALO: An Accelerator-Rich Distributed System for Scalable Brain-Computer Interfacing.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
Proceedings of the 19th Workshop on Hot Topics in Operating Systems, 2023
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
2022
Design of Power Gated SRAM Cell for Reducing the NBTI Effect and Leakage Power Dissipation During the Hold Operation.
J. Electron. Test., 2022
Proceedings of the 2022 IEEE Hot Chips 34 Symposium, 2022
Proceedings of the IEEE/ACM International Symposium on Code Generation and Optimization, 2022
2021
IEEE Micro, 2021
NBTI-Aware Power Gating Design with Dynamically Varying Stress Probability Control on Sleep Transistor.
J. Circuits Syst. Comput., 2021
Lookup table-based negative-bias temperature instability effect and leakage power co-optimization using genetic algorithm approach.
Int. J. Circuit Theory Appl., 2021
Commun. ACM, 2021
Proceedings of the SPAA '21: 33rd ACM Symposium on Parallelism in Algorithms and Architectures, 2021
Proceedings of the SOSP '21: ACM SIGOPS 28th Symposium on Operating Systems Principles, 2021
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021
2020
CoRR, 2020
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020
2019
Proceedings of the 2019 IEEE/WIC/ACM International Conference on Web Intelligence, 2019
Proceedings of the 46th International Symposium on Computer Architecture, 2019
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019
2018
ACM SIGOPS Oper. Syst. Rev., 2018
IEEE Micro, 2018
IEEE Comput. Archit. Lett., 2018
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
Proceedings of the Eighth ACM Conference on Data and Application Security and Privacy, 2018
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018
2017
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01757-5, 2017
IEEE Micro, 2017
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017
Proceedings of the 2017 IEEE 3rd International Conference on Big Data Security on Cloud (BigDataSecurity), 2017
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017
POSTER: Exploiting Approximations for Energy/Quality Tradeoffs in Service-Based Applications.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017
2016
Proceedings of the 12th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments, 2016
Observations and opportunities in architecting shared virtual memory for heterogeneous systems.
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016
Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, 2016
2015
Large pages and lightweight memory management in virtualized environments: can you have it both ways?
Proceedings of the 48th International Symposium on Microarchitecture, 2015
2014
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014
Architectural support for address translation on GPUs: designing memory management units for CPU/GPUs with unified address spaces.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014
2013
TLB Improvements for Chip Multiprocessors: Inter-Core Cooperative Prefetchers and Shared Last-Level TLBs.
ACM Trans. Archit. Code Optim., 2013
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013
Proceedings of the 21st IEEE/ACM International Symposium on Quality of Service, 2013
2012
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
2011
ACM Trans. Archit. Code Optim., 2011
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011
2010
Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, 2010
2009
Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009
Characterizing the TLB Behavior of Emerging Parallel Workloads on Chip Multiprocessors.
Proceedings of the PACT 2009, 2009
2008
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008